คุณลักษณะของไอซีเซมิคอนดักเตอร์คือองค์ประกอบทั้งหมดผลิตขึ้นพร้อมกันในวงจรเทคโนโลยีเดียว ซึ่งการดำเนินการแต่ละรายการ (ออกซิเดชันและการกัด การแพร่กระจาย เอพิแทกซี) จะดำเนินการในสภาพแวดล้อมเดียวกัน

เมื่อสร้างองค์ประกอบแบบแอคทีฟและพาสซีฟของไอซีสมัยใหม่จะใช้การดำเนินการทางเทคโนโลยีขั้นพื้นฐานต่อไปนี้: ออกซิเดชัน, การแกะสลัก, การพิมพ์หิน, การแพร่กระจาย, การเติมไอออน, การ epitaxy, การสปัตเตอร์และการสะสมฟิล์ม

ออกซิเดชัน.แผ่นเวเฟอร์ซิลิคอนถูกให้ความร้อนที่ 800 - -1200 °C และสัมผัสกับออกซิเจนหรือไอน้ำอิ่มตัว ในสภาพแวดล้อมที่เกิดออกซิไดซ์ อะตอมบนพื้นผิวของแผ่นจะทำปฏิกิริยากับออกซิเจนและก่อตัวเป็นชั้นอิเล็กทริกบางๆ ในขั้นตอนเริ่มต้นของการผลิต IC ชั้นหนา 1-3 µm จะถูกนำมาใช้เป็นหน้ากากในการดำเนินการกระจายแบบเลือกสรรในพื้นที่ของแผ่นเวเฟอร์ที่ชั้นนี้ไม่ได้ครอบคลุม เมื่อใช้ชั้นนี้ จะป้องกันการแพร่กระจายของสิ่งสกปรกเข้าไปในเซมิคอนดักเตอร์ที่อยู่ใต้ชั้น เนื่องจากค่าสัมประสิทธิ์การแพร่กระจายของสิ่งสกปรกในซิลิคอนไดออกไซด์มีค่าน้อยกว่าในเซมิคอนดักเตอร์มาก ฟิล์มอิเล็กทริกยังใช้เป็นอิเล็กทริกสำหรับเกตของทรานซิสเตอร์ MOS ในขั้นตอนสุดท้ายของการผลิต IC ชั้นอิเล็กทริกถูกใช้เพื่อกั้นคริสตัล: ชั้นนี้ซึ่งครอบคลุมพื้นผิวทั้งหมดของคริสตัล ช่วยปกป้อง IC จากอิทธิพลของสิ่งแวดล้อม

ทันสมัยกว่าคือการเกิดออกซิเดชันขั้วบวกของซิลิคอนซึ่งช่วยให้สามารถสร้างฟิล์มอิเล็กทริกบนพื้นผิวของซิลิคอนที่มีความหนาเกือบทุกประเภทโดยการเลือกโหมดออกซิเดชันขั้วบวก กระบวนการนี้เป็นกระบวนการที่อุณหภูมิต่ำซึ่งช่วยลดการบำบัดที่อุณหภูมิสูงหลายอย่างที่เกี่ยวข้องกับการเกิดออกซิเดชันจากความร้อนเมื่อสร้างมาส์ก ซึ่งต่างจากการออกซิเดชันจากความร้อน

การแกะสลักดำเนินการในกรดไฮโดรฟลูออริกซึ่งชั้นนี้จะละลาย ในพื้นที่ของแผ่นที่ต้องทำการแพร่กระจาย หน้าต่างที่มีขนาดที่ต้องการจะถูกสลักลงในชั้นโดยใช้กรดไฮโดรฟลูออริก

การพิมพ์หินด้วยแสง(รูปที่ 3.1) หน้าต่างบนพื้นผิวของแผ่นเวเฟอร์ที่ใช้สำหรับการแพร่กระจายจะถูกใช้โดยการพิมพ์หินด้วยแสง ในเวลาเดียวกันที่ด้านบนของชั้น; โฟโตรีซีสเตอร์ซึ่งเป็นฟิล์มบางๆ ของวัสดุอินทรีย์ที่ไวต่อแสงถูกนำไปใช้กับเพลต จากนั้นใช้โฟโตมาสก์ในรูปแบบของมาสก์หน้าสัมผัสแก้วซึ่งมีรูปแบบที่ประกอบด้วยพื้นที่โปร่งใสและทึบแสง โฟโตรีซีสเตอร์จะได้รับรังสีอัลตราไวโอเลตผ่านหน้ากาก ซึ่งส่งผลให้โฟโตรีซีสเตอร์ไม่ปรากฏเมื่อนักพัฒนาถูกนำไปใช้กับพื้นที่ที่ได้รับรังสี ดังนั้นรูปแบบของการกำหนดค่าบางอย่างและขนาดที่สอดคล้องกันจึงยังคงอยู่บนพื้นผิวของแผ่น เมื่อแกะสลักแผ่นด้วยกรดไฮโดรฟลูออริกเพื่อขจัดชั้นดังกล่าว โฟโตรีซีสเตอร์จะไม่ละลาย ดังนั้นหน้าต่างจะเปิดเฉพาะในพื้นที่ที่โฟโตรีซีสเตอร์ที่เปลือยเปล่าไม่ถูกบังไว้เท่านั้น การแพร่กระจายเกิดขึ้นผ่านหน้าต่างเหล่านี้



ข้าว. 3.1. โครงการกระบวนการสร้าง IC โดยใช้เทคโนโลยี planar-epitaxis: a - โครงสร้าง epitaxis หลังจากปลูกชั้นซิลิคอนออกไซด์; b – เพลตที่มีชั้นโฟโตรีซิสต์เคลือบอยู่ c – แผนภาพการทำงานของการรวมกันและการเปิดรับแสง d – จานหลังจากการพัฒนาแสง; e – เพลทหลังจากการกัดรูในออกไซด์และถอดโฟโตรีซิสต์ออก e – plate หลังจากดำเนินการกระบวนการแพร่และได้รับจุดเชื่อมต่อ p-n g – แผ่นหลังจากเจาะรูในชั้นซิลิคอนออกไซด์เพื่อใช้หน้าสัมผัสโลหะ h – แผ่นที่มีโครงสร้างเป็นรูปวงจรรวม 1 – จาน; 2 – ชั้น epitaxis ของซิลิคอน; 3 – ชั้นของซิลิคอนออกไซด์ SiO 2; 4 – ชั้นไวแสง; 5 – โฟโตมาสก์; 6 – รูในตัวต้านทานแสง 7 - รูในซิลิคอนออกไซด์ 8 – เส้นขอบของทางแยก p – n; 9 – หน้าสัมผัสโลหะ

Photolithography ช่วยให้คุณสร้างรูปแบบที่มีขนาดองค์ประกอบอย่างน้อย 2 ไมครอน ขนาดนี้จำกัดความหนาแน่นขององค์ประกอบบนจาน

การพิมพ์หินลำแสงอิเล็กตรอนมีความละเอียดสูงกว่า ด้วยการเปิดเผยเวเฟอร์เซมิคอนดักเตอร์กับลำอิเล็กตรอนโดยตรง จะสามารถสร้างแถบที่แคบกว่าการพิมพ์หินด้วยแสงถึง 20 เท่า ซึ่งช่วยลดขนาดคุณสมบัติลงเหลือ 0.1 ไมครอน

การแพร่กระจายของสิ่งเจือปนใช้ในการเจือแผ่นเวเฟอร์เพื่อสร้างชั้น p และ n ซึ่งก่อตัวเป็นตัวปล่อย ฐาน ตัวสะสมของทรานซิสเตอร์แบบไบโพลาร์ ท่อระบาย แหล่งกำเนิด ช่องของทรานซิสเตอร์แบบยูนิโพลาร์ ชั้นตัวต้านทาน และรอยต่อ p-n ที่เป็นฉนวน เพื่อกระจายสิ่งสกปรก แผ่นจะถูกให้ความร้อนที่ 800-1250 °C และก๊าซที่มีสิ่งเจือปนจะถูกส่งผ่านบนพื้นผิว สิ่งเจือปนจะแพร่กระจายลึกเข้าไปในจานผ่านหน้าต่าง ความลึกของชั้นการแพร่และความต้านทานจะถูกปรับโดยการเปลี่ยนรูปแบบการแพร่ (อุณหภูมิและระยะเวลาของการแพร่)

การเติมไอออนแทนที่จะแพร่กระจาย จะใช้การเติมไอออนเพื่อฝังสิ่งเจือปนลงในเซมิคอนดักเตอร์ ในการทำเช่นนี้ ไอออนเจือปนจะถูกเร่งในตัวเร่งความเร็วเป็น 80-300 keV จากนั้นพวกมันจะถูกส่งไปยังสารตั้งต้น ปกป้องบริเวณที่ไม่ควรเจือด้วยหน้ากาก การนำสารเจือปนเข้ามาในช่วงความเข้มข้นที่หลากหลายและความเป็นไปได้ของการควบคุมปริมาณของสารเจือปนที่แม่นยำยิ่งขึ้น ทำให้สามารถเปลี่ยนพารามิเตอร์ขององค์ประกอบภายในขีดจำกัดที่ต้องการได้ ดังนั้นแทนที่จะใช้การแพร่กระจาย ยาสลบไอออนจึงถูกนำมาใช้มากขึ้น แม้ว่าการใช้งานจะเกี่ยวข้องกับการติดตั้งอุปกรณ์การผลิต IC ใหม่ด้วยอุปกรณ์ราคาแพงก็ตาม

ในการผลิตไอซีเซมิคอนดักเตอร์และอุปกรณ์แยกจำนวนมากจำเป็นต้องสร้างเซมิคอนดักเตอร์ที่มีชื่อเดียวกันบนชั้นวัสดุพิมพ์และในบางกรณีเซมิคอนดักเตอร์ประเภทอื่นที่มีแถบความถี่ที่แตกต่างกันซึ่งมีความหนาเจือเท่ากัน โดยเฉพาะอย่างยิ่ง นี่เป็นสิ่งจำเป็นในการขยายการทำงานของวงจรและปรับปรุงพารามิเตอร์โดยการสร้างพื้นที่ที่มีความนำไฟฟ้าสูงซึ่งซ่อนอยู่ใต้ชั้นดังกล่าว (ชั้นที่ซ่อนอยู่)

คำว่า "epitaxy" ที่เสนอครั้งแรกโดย Royer ปัจจุบันสะท้อนถึงกระบวนการของการเติบโตแบบมุ่งเน้น ซึ่งเป็นผลมาจากระยะใหม่ที่เกิดขึ้นตามธรรมชาติจะยังคงเป็นโครงตาข่ายคริสตัลของเฟสที่มีอยู่ของสารตั้งต้นด้วยการก่อตัวของชั้นการเปลี่ยนแปลงบางอย่างที่ส่งเสริม การหลอมรวมที่เชื่อมโยงกันของโครงตาข่ายสองอันตามแนวระนาบของสารตั้งต้นที่มีความหนาแน่นการบรรจุอะตอมใกล้เคียงกัน เมื่อเสร็จสิ้นการก่อตัวของชั้นการเปลี่ยนแปลง กระบวนการ epitaxis จะดำเนินต่อไปด้วยการก่อตัวของชั้นที่มีความหนาที่ต้องการ

ชั้นอีปิเทเชียล(ES) เป็นชั้น monocrystalline ของชั้นใหม่
ระยะที่เติบโตอันเป็นผลมาจาก epitaxy บนพื้นผิว
สารตั้งต้นโมโนคริสตัลไลน์ในลักษณะที่กำหนดไว้อย่างเคร่งครัดซึ่ง
มีพันธะเคมีแบบคริสตัลที่แข็งแกร่งกับสารตั้งต้นและไม่สามารถเป็นได้
แยกออกจากกันโดยไม่ทำลายชั้นหรือพื้นผิวของวัสดุพิมพ์ อีเอส
ในทางปฏิบัติแล้วโครงตาข่ายคริสตัลของสารตั้งต้นและ
มุ่งเน้นในลักษณะที่กำหนดไว้อย่างเคร่งครัดโดยสัมพันธ์กับระนาบผลึกศาสตร์ที่ขยายออกไปบนพื้นผิว

พื้นฐาน ปรากฏการณ์ทางกายภาพซึ่งเกิดขึ้นในระหว่างกระบวนการ epitaxy คือการตกผลึกของสาร โดยการตกผลึกของสาร เราหมายถึงลักษณะที่ปรากฏของนิวเคลียสของสถานะของแข็งและการเติบโตของพวกมัน ขึ้นอยู่กับองค์ประกอบที่ได้รับ ES กลไกการตกผลึกต่อไปนี้มีความโดดเด่น:

กลไกไอคริสตัล (P-C) เมื่อการก่อตัวของเฟสของแข็งเกิดขึ้นจากสถานะไอหรือก๊าซของสาร

กลไกไอ - ของเหลว - คริสตัล (P - L - C) เมื่อการก่อตัวของเฟสของแข็งจากสถานะไอผ่านขั้นตอนของสถานะของเหลว ตัวอย่างคือการตกผลึกของ Ge บนพื้นผิว Si หากสารตั้งต้นถูกให้ความร้อนจนถึงอุณหภูมิที่เกินจุดหลอมเหลวของ Ge;

กลไกโซลิดคริสตัล (S-C) เมื่อชั้นเอพิแทกเซียลเติบโตจากอิเล็กโทรไลต์หรือการหลอมละลาย

การสปัตเตอร์และการติดฟิล์มองค์ประกอบของไอซีเซมิคอนดักเตอร์เชื่อมต่อถึงกันโดยใช้รูปแบบการนำไฟฟ้าที่ได้จากการสปัตเตอร์ฟิล์มโลหะ ในการทำเช่นนี้หลังจากแกะสลักหน้าต่างใต้หน้าสัมผัสโดยใช้การพิมพ์หินด้วยแสงแล้ว ฟิล์มอลูมิเนียมจะสะสมอยู่ในสุญญากาศทั่วทั้งพื้นผิวของแผ่น โดยการพ่นจะทำให้เกิดแผ่นโลหะที่เคลือบด้วยซึ่งตะกั่วของวงจรไมโครและสายไฟบาง ๆ ที่เชื่อมต่อทรานซิสเตอร์ที่ไม่ได้บรรจุหีบห่อในไอซีไฮบริดจะถูกเชื่อมโดยการเชื่อมด้วยความร้อนอัด เมื่อเร็ว ๆ นี้แทนที่จะใช้จัมเปอร์ลวดมีการใช้ขั้วต่อลำแสงซึ่งเป็นส่วนที่ยื่นออกมาเป็นสีทอง ในระหว่างการประกอบ IC แบบไฮบริด ลีดของลำแสงจะอยู่ในแนวเดียวกับแผ่นอิเล็กโทรดบนพื้นผิวและบัดกรีเข้ากับแผ่นดังกล่าว โดยให้ความร้อนจนถึงอุณหภูมิที่จุดเชื่อมต่อยูเทคติกเกิดขึ้น ในที่สุด โดยการสปัตเตอร์และการสะสมของฟิล์ม องค์ประกอบแบบพาสซีฟในไอซีแบบรวมและแบบไฮบริดจะถูกผลิตขึ้นในรูปแบบของฟิล์มหนาและบาง อิเล็กทรอนิกส์ครบวงจรในปัจจุบันเป็นหนึ่งในสาขาที่มีการพัฒนาอย่างรวดเร็วที่สุดของอุตสาหกรรมสมัยใหม่ ส่วนประกอบหนึ่งของวิทยาศาสตร์นี้คือวงจรไมโครอิเล็กทรอนิกส์ ในแต่ละขั้นตอนใหม่ของการพัฒนาเทคโนโลยีการผลิตวงจรรวม (IC) จะมีการสร้างวิธีพื้นฐานใหม่สำหรับการผลิตโครงสร้าง IC ซึ่งสะท้อนถึงความสำเร็จล่าสุดของวิทยาศาสตร์

หลายคนคงสงสัยมากกว่าหนึ่งครั้งว่าทำไมโปรเซสเซอร์ การ์ดแสดงผล และมาเธอร์บอร์ดที่เราซื้อในร้านค้าจึงได้รับการออกแบบและผลิตที่ใดก็ได้ยกเว้นในรัสเซีย ทำไมสิ่งนี้ถึงเกิดขึ้นเราสามารถสูบน้ำมันได้จริงหรือ?

การเปิดตัวการผลิตไมโครวงจรมีค่าใช้จ่ายเท่าไหร่ และเหตุใดเมื่อมีโรงงาน 22 นาโนเมตร ไมโครวงจรส่วนใหญ่ทั่วโลกจึงยังคงผลิตโดยใช้อุปกรณ์ 180 นาโนเมตร-500 นาโนเมตรที่ "ล้าสมัย"

คำตอบสำหรับคำถามเหล่านี้และคำถามอื่นๆ อีกมากมายอยู่ด้านล่างสุด

การผลิตไมโครอิเล็กทรอนิกส์ทำงานอย่างไร และมีค่าใช้จ่ายเท่าไร?

ทรานซิสเตอร์ถูกวาดบนแผ่นเวเฟอร์ซิลิคอนโดยใช้การพิมพ์หินด้วยแสง โดยใช้เครื่องจักรที่เรียกว่าสเต็ปเปอร์หรือเครื่องสแกน สเต็ปเปอร์ - ดึงทั้งเฟรม (สูงสุด 26x33 มม.) จากนั้นย้ายไปยังตำแหน่งใหม่ เครื่องสแกน - เคลื่อนหน้ากากและเพลตไปพร้อมๆ กัน เพื่อให้ในแต่ละช่วงเวลามีเพียง "เส้น" แคบๆ เส้นเดียวเท่านั้นที่ถูกลากตรงกลางกรอบ ทำให้เกิดความคลาดเคลื่อน ระบบออปติคัลมีผลกระทบต่อภาพน้อยกว่า

ลักษณะสำคัญของสเต็ปเปอร์/สแกนเนอร์คือความยาวคลื่นของแสงที่ใช้งาน (บนหลอดปรอท i-line - 365 นาโนเมตร จากนั้นบนเลเซอร์เอ็กไซเมอร์ - 248 นาโนเมตรและ 193 นาโนเมตร) และรูรับแสงตัวเลขของเลนส์ ยิ่งความยาวคลื่นสั้นและรูรับแสงกว้างขึ้น รายละเอียดที่เลนส์สามารถวาดได้ก็จะยิ่งน้อยลงตามขีดจำกัดการเลี้ยวเบน:

ตัวอย่างเช่น สำหรับหนึ่งในสแกนเนอร์ที่ทันสมัยที่สุด ASML NXT 1950i ที่มีความยาวคลื่น 193 nm และรูรับแสงตัวเลข 1.35 และ k1 = 0.4 (ค่าปกติสำหรับการพิมพ์หินด้วยแสงโดยไม่มี "เทคนิค") เราได้รับความละเอียดทางทฤษฎีที่ 57 nm . การใช้เทคนิคต่างๆ เช่น เฟสมาสก์ การสัมผัสหลายครั้ง การแก้ไขด้วยแสงความใกล้ชิด การส่องสว่างนอกแกน โพลาไรเซชันของแสง - ได้รับองค์ประกอบขั้นต่ำสุดถึง 22 นาโนเมตร

พารามิเตอร์อื่นๆ ของสเต็ปเปอร์/สแกนเนอร์คือประสิทธิภาพการทำงาน (สามารถประมวลผลได้กี่เวเฟอร์ต่อชั่วโมง มากถึง 220 เวเฟอร์) และข้อผิดพลาดในการจัดตำแหน่ง (โดยจำนวนนาโนเมตรในชิ้นที่ทำให้การวางตำแหน่งของเวเฟอร์พลาดสัมพันธ์กับตำแหน่งที่กำหนด - สูงถึง 3-5 นาโนเมตร)

สเต็ปเปอร์/เครื่องสแกนจะพิมพ์รูปภาพของหน้ากากดังกล่าว (แผ่นกระจกที่มีลวดลายไมโครวงจร ขนาดประมาณ 15x15 ซม.) ลดลง 4-5 เท่าในตำแหน่งที่ระบุอย่างแม่นยำ

การดำเนินการพิมพ์รูปแบบ (ด้วยมาสก์ที่แตกต่างกัน) จะต้องทำซ้ำตั้งแต่ ~10 (สำหรับวงจรไมโครที่ง่ายที่สุดและเก่าแก่ที่สุด) ถึง ~40 ครั้งเพื่อสร้างเลเยอร์ที่จำเป็นทั้งหมดบนวงจรไมโคร (เริ่มจากตัวทรานซิสเตอร์เอง และลงท้ายด้วย 2– ข้อต่อโลหะ 10 ชั้น) ระหว่างการดำเนินการถ่ายภาพด้วยแสง การประมวลผลต่างๆ- อุ่นในเตาอบที่อุณหภูมิ 1,100 องศาวางยาพิษในสารละลายและพลาสมา ในตอนท้าย สิ่งที่เหลืออยู่คือการตัดแผ่นออกเป็นคริสตัลเดี่ยวๆ ทดสอบแล้วใส่เข้าไปในตัวเครื่อง

“ความเย็น” ของเทคโนโลยีวัดจากขนาดขององค์ประกอบขั้นต่ำที่จะวาด (แต่ละส่วนของทรานซิสเตอร์ เช่น เกต อาจเล็กกว่าหรือใหญ่กว่าตัวเลขนี้ก็ได้ กล่าวคือ นี่เป็นค่าที่กำหนดขึ้นเอง) เห็นได้ชัดว่ายิ่งทรานซิสเตอร์มีขนาดเล็ก วงจรไมโครก็จะทำงานเร็วขึ้น และคริสตัลก็จะพอดีกับจานมากขึ้น (แต่ไม่จำเป็นต้องใช้ความเร็วสูงสุดในทุกที่)

ตอนนี้เริ่มต้นการเปลี่ยนแปลงที่ช้าและเจ็บปวดไปสู่การพิมพ์หิน EUV ด้วยความยาวคลื่น 13.5 นาโนเมตรและเลนส์กระจก เครื่องสแกน EUV ยังคงมีราคาแพงกว่าและช้ากว่าเครื่องสแกน 193 นาโนเมตรทั่วไป และเพิ่งจะเริ่มเหนือกว่าเครื่องสแกนเหล่านี้ในด้านความละเอียดที่สามารถทำได้

การสร้างโปรเซสเซอร์ของคุณเองราคาเท่าไหร่?

ตัวเลขนี้เป็นตัวเลขประมาณการคร่าวๆ ไม่มีใครสามารถบอกคุณได้แน่ชัดหากไม่มี NDA

ลิขสิทธิ์ซอฟต์แวร์สำหรับหนึ่ง ที่ทำงานนักพัฒนาชิป - ตั้งแต่ 20,000 ดอลลาร์ถึง 100,000 ดอลลาร์ต่อปีขึ้นไป แน่นอนคุณสามารถขโมยได้ แต่ทุกคนรอบตัวคุณจับตาดูอยู่

ต่อไปคือการทำหน้ากากอนามัย พวกเขาไม่ควรได้รับความเสียหายใดๆ และการผลิตของพวกเขามีราคาแพงมาก: เริ่มต้นที่ ~ 7,000 ดอลลาร์สำหรับชุดอุปกรณ์สำหรับชิป 1,000 นาโนเมตร, ~ 100,000 ดอลลาร์สำหรับชิป 180 นาโนเมตร และสูงถึง ~ 5,000,000 ดอลลาร์สำหรับชิป 32 นาโนเมตร แต่ไมโครเซอร์กิตมักจะไม่ทำงานในครั้งแรก - และหลังจากพบข้อผิดพลาดแล้วจะต้องทำมาสก์ใหม่ ปัญหานี้แก้ไขได้บางส่วนด้วยการวางชิปทดสอบจากลูกค้าจำนวนมากบนมาสก์ชุดเดียว - จากนั้นทุกคนจะได้รับชิปทดสอบเล็กน้อยในราคา 1/3–1/10 ของราคาของมาสก์ทั้งชุด (ซึ่งเรียกว่า Shuttle หรือ MPW - เวเฟอร์หลายโครงการ)

เวเฟอร์ที่ผลิตแต่ละรายการมีราคาตั้งแต่ 100–400 ดอลลาร์สำหรับเทคโนโลยีเก่าที่ 1,000 นาโนเมตร ~ 1,000 ดอลลาร์สำหรับ 180 นาโนเมตร และสูงถึง ~ 5,000 ดอลลาร์สำหรับเทคโนโลยีที่ทันสมัยที่สุด (นอกเหนือจากนาโนเมตรแล้ว ความซับซ้อนของเทคโนโลยีก็มีอิทธิพลต่อสิ่งนี้ด้วย - ตรรกะง่ายๆ ราคาถูกกว่า หน่วยความจำแฟลช มีราคาแพงกว่าแต่ไม่มาก) สิ่งสำคัญที่ต้องจำไว้คือขนาดของเวเฟอร์: โรงงานผลิตที่ทันสมัยที่สุดในขณะนี้ทำงานร่วมกับเวเฟอร์ที่มีเส้นผ่านศูนย์กลาง 300 มม. - มีพื้นที่ประมาณสองเท่าของเวเฟอร์ 200 มม. (ซึ่งปัจจุบันใช้ในรัสเซียเมื่อ Mikron, Integral และในอนาคตอันคลุมเครือบน Angstrem-T) และอย่างหลังมีขนาดประมาณสองเท่าของรุ่นเก่ากว่า 150 มม. จาน ขนาดใหญ่ขึ้นช่วยให้คุณได้รับไมโครวงจรที่มีต้นทุนต่ำกว่าสำหรับการสั่งซื้อจำนวนมากเพราะว่า จำนวนการเคลื่อนไหวของร่างกายเพื่อผลิตเวเฟอร์ 100 ชิ้นจะเท่ากันโดยไม่คำนึงถึงเส้นผ่านศูนย์กลาง (นี่เป็นหนึ่งในเหตุผลสำหรับการเปลี่ยนแผนการผลิตขั้นสูงไปเป็นเวเฟอร์ที่มีเส้นผ่านศูนย์กลาง 450 มม. ในปี 2561 ตามการประมาณการในแง่ดี)

สมมติว่าเราต้องการพัฒนาโปรเซสเซอร์ที่รองรับ x86 (หรือชิปอื่น ๆ ที่ค่อนข้างซับซ้อน) ตามเชิงพาณิชย์สมัยใหม่ไม่มากก็น้อย เทคโนโลยีที่มีอยู่ 28/32 นาโนเมตร (ถึงแม้จะมี 22 นาโนเมตร แต่ยังไม่มีการสั่งซื้อเชิงพาณิชย์ ดังนั้น การเข้าถึงเทคโนโลยีบางครั้งจึงเหมือนกับความรัก มันไม่ได้ขายเพื่อเงิน) ข้ามประเด็นเรื่องต้นทุนสิทธิบัตรไปได้เลย โดยทั่วไปแล้ว นี่เป็นหัวข้อที่น่าเศร้ามาก สมมติว่าการพัฒนาต้องใช้เวลา 200 ปีของมนุษย์ในตำนาน (นี่คือถ้าเราสร้างโปรเซสเซอร์ขนาดเล็กที่ไม่อ้างสิทธิ์เป็นที่หนึ่ง)

ลิขสิทธิ์ซอฟต์แวร์ - 50k$*100 = 5 ล้านดอลลาร์ (ประมาณการคร่าวๆ ไม่ใช่ทุกคนที่ต้องการใบอนุญาต)
เงินเดือนนักพัฒนา - สมมติว่า 3k$*1.5(ภาษี)*12*200 = 10.8 ล้าน$
การทดสอบทำงานใน MPW - 2*1.5 ล้านดอลลาร์
ทำหน้ากากอนามัยเพื่อ การผลิตแบบอนุกรม 2*5 ล้านเหรียญสหรัฐ = 10 ล้านเหรียญสหรัฐ (2 - เพราะไม่ว่าคุณจะพยายามแค่ไหน มันก็จะไม่สำเร็จในครั้งแรก)

รวม - 28.8 ล้านดอลลาร์

สิ่งนี้เรียกว่าวิศวกรรมที่ไม่เกิดซ้ำ (NRE) ซึ่งเป็นต้นทุนที่เกิดขึ้นครั้งเดียวซึ่งไม่ขึ้นอยู่กับปริมาณการผลิตและความสำเร็จของงานทั้งหมด

หากเรามีโปรเซสเซอร์ที่มีพื้นที่ 200 mm2 เทคโนโลยีเวเฟอร์ที่มีเทคโนโลยี 32 นาโนเมตรและมีเส้นผ่านศูนย์กลาง 300 มม. มีราคา 5,000 เหรียญสหรัฐ จากนั้นเราจะได้ 70690/200 = 350 คริสตัลจากเวเฟอร์ (ประมาณด้านบน) ซึ่งเรามาลองดูกัน บอกว่า 300 จะใช้ได้ผล ราคาของคริสตัลอยู่ที่ 16.6 เหรียญสหรัฐ และ 20 เหรียญสหรัฐหลังบรรจุภัณฑ์ ตอนนี้โปรเซสเซอร์ดังกล่าวสามารถขายได้ราคาเท่าไหร่? 50$? 100$? มาเอาภาษีและมาร์กอัปร้านค้ากันเถอะ...

และตอนนี้คำถามก็คือ - จำเป็นต้องขายโปรเซสเซอร์เหล่านี้กี่เครื่องเพื่อชดใช้ NRE ดอกเบี้ยเงินกู้ภาษี ฯลฯ ของเรา? ล้าน? 5 ล้าน? ก คำถามหลัก- มีการรับประกันใด ๆ หรือไม่ว่าโปรเซสเซอร์ 5 ล้านตัวนี้จะถูกขายโดยที่คู่แข่งไม่มีค่าใช้จ่ายในการผลิตผลิตภัณฑ์สำเร็จรูปเพิ่มอีก 5 ล้านชิ้น?

นี่คือธุรกิจที่เลวร้ายอย่างที่เห็น - การใช้จ่ายฝ่ายทุนมหาศาล ความเสี่ยงมหาศาล และผลกำไรปานกลางที่ดีที่สุด

จีน - แก้ไขปัญหาด้วยวิธีของตนเอง พวกเขาตัดสินใจจัดหาคอมพิวเตอร์ที่มีโปรเซสเซอร์และ Linux ของตัวเองให้กับโรงเรียนทุกแห่ง - และปัญหาเกี่ยวกับปริมาณการผลิตก็ได้รับการแก้ไข ()

ดังนั้นคำถามหลักในการสร้างวงจรไมโครจึงไม่ใช่ว่าจะผลิตได้อย่างไรและที่ไหน แต่จะพัฒนาและขายผลิตภัณฑ์ผลลัพธ์นับล้านหน่วยให้ใครได้อย่างไร

ค่าใช้จ่ายในการสร้างโรงงานเท่าไหร่?

ต้นทุนของโรงงานสมัยใหม่ใกล้จะถึง 5 พันล้านดอลลาร์และสูงกว่านั้น จำนวนนี้ได้มาเนื่องจากต้นทุนใบอนุญาตและต้นทุนคงที่อื่น ๆ ไม่ได้ขึ้นอยู่กับปริมาณการผลิตมากนัก - และจะทำกำไรได้หากมี โปรดักชั่นขนาดใหญ่เพื่อให้ต้นทุน "กระจาย" ไปตามปริมาณการผลิตที่มากขึ้น และเครื่องสแกนสมัยใหม่แต่ละเครื่อง (ซึ่งจริงๆ แล้วดึงชิ้นส่วน 22–32 นาโนเมตรเหล่านี้) มีราคา 60–100 ล้านดอลลาร์ (ที่โรงงานขนาดใหญ่อาจมีสองสามโหลในนั้น) โดยหลักการแล้ว 5 พันล้านไม่ใช่เงินจำนวนมากในระดับชาติ แต่โดยธรรมชาติแล้ว จะไม่มีใครใช้จ่าย 5 พันล้านโดยไม่มีแผนผลตอบแทนการลงทุนที่ชัดเจน และสถานการณ์ก็เป็นเช่นนี้ - แม้จะมีความซับซ้อนทั้งหมดของอุตสาหกรรม มีเพียงผู้ผูกขาดเท่านั้นที่ทำงานโดยมีผลกำไรที่มองเห็นได้ (TSMC, Intel, Samsung และอีกสองสามราย) ส่วนที่เหลือแทบจะไม่ได้พบเจอ

มันไม่พอดีกับหัวของฉัน - เป็นไปได้อย่างไรที่จะลงทุนหลายพันล้านและแทบไม่ได้คืน? ปรากฎว่าทุกอย่างเรียบง่าย - ไมโครอิเล็กทรอนิกส์เป็นอุตสาหกรรมที่ได้รับการอุดหนุนอย่างรุนแรงทั่วโลก - โรงงานต่าง ๆ ร้องขอการยกเว้นภาษีสินเชื่อพิเศษและการทุ่มตลาดอย่างต่อเนื่อง (ในประเทศจีนพวกเขาไปไกลกว่านั้น - SMIC สร้างโรงงานด้วยค่าใช้จ่ายสาธารณะจากนั้นจึง "จัดการ" พวกเขา - พวกเขาเรียกมันว่า Reverse Build-Operate-Transfer) หลังจากการปรากฏตัวของแต่ละคน เทคโนโลยีใหม่(45nm, 32nm...) - โรงงานผูกขาดแห่งแรกที่มีโรงงานดังกล่าวและตัดกำไรหลักออกไป และโรงงานที่มาช้ากว่าเริ่มต้น 2-5-10 ปีจะถูกบังคับให้ทำงานจนเกือบต้องใช้ต้นทุน เป็นผลให้เป็นเรื่องยากมากที่จะทำเงินที่นี่ (โดยไม่ต้องผูกขาดและไม่ได้รับเงินอุดหนุน)

ดูเหมือนว่ารัสเซียจะเข้าใจเรื่องนี้เช่นกัน - และโครงการของโรงงานไมโครอิเล็กทรอนิกส์ขนาดใหญ่ได้ถูกเลื่อนออกไปในขณะนี้ และมีการสร้างโรงงานผลิตขนาดเล็ก - ดังนั้นแม้ว่าพวกเขาจะสูญเสียเงิน แต่ก็ยังไม่เพียงพอที่จะสูญเสียไป และแม้แต่แผ่น 3,000 แผ่นต่อเดือนที่ผลิตที่ Mikron ซึ่งครอบคลุมปริมาณการใช้ตั๋วรถไฟใต้ดินและอุตสาหกรรมการป้องกันประเทศอย่างสมบูรณ์ (คริสตัลของตั๋วรถไฟใต้ดินมีขนาด 0.6x0.6 มม. บนแผ่นขนาด 200 มม. หนึ่งแผ่นคุณจะได้รับตั๋วรถไฟใต้ดิน 87,000 ใบ - แต่เกี่ยวกับเรื่องเศร้าเรื่องตั๋ว ฉันจะเล่าให้คุณฟังเกี่ยวกับรถไฟใต้ดินในบทความใดบทความหนึ่งต่อไปนี้)

ตรงกันข้ามกับความเชื่อที่นิยม ไม่มีข้อจำกัดพิเศษในการขายอุปกรณ์ไมโครอิเล็กทรอนิกส์ไปยังรัสเซีย - การเลื่อนการชำระหนี้ของประธานาธิบดีถูกกำหนดเป็นประจำทุกปีสำหรับการแก้ไข Jackson-Vanik ในสหรัฐอเมริกา และคุณจะต้องได้รับใบอนุญาตส่งออกตามปกติเท่านั้น ผู้ผลิตอุปกรณ์เองก็สนใจอย่างยิ่งในการสร้างรายได้มากขึ้นและพวกเขาก็ผลักดันให้ออกใบอนุญาตด้วย แต่โดยธรรมชาติแล้ว ไม่มีใครทำอะไรโดยไม่มีเงิน ดังนั้นเพื่อเงินของคุณ - อะไรก็ได้

แต่คุณต้องจำไว้ด้วยว่าโรงงานของคุณเองไม่ได้รับประกันความเป็นอิสระในการผลิตโดยสมบูรณ์ และไม่ถูกกว่าการผลิตในต่างประเทศ ต้นทุนหลักคือเทคโนโลยี/ใบอนุญาต และต้นทุนอุปกรณ์ที่ซื้อ - และหากคุณไม่มีเทคโนโลยีของคุณเอง และอุปกรณ์และนำเข้าทุกอย่างแล้วจะถูกลงไม่ได้ ในกรณีใดก็ตามจะต้องนำเข้าวัสดุสิ้นเปลืองจำนวนมาก จุดที่เจ็บอีกประการหนึ่งคือการผลิตหน้ากากอนามัย มีเพียงโรงงานขนาดใหญ่เท่านั้นที่สามารถผลิตหน้ากากอนามัย "ของตนเอง" ได้

คุณต้องมีความสุขกี่นาโนเมตร?

ดูเหมือนว่าหลาย ๆ คน - Intel มี 22 นาโนเมตรและเรามี 90 นาโนเมตร - ว่าเราตามหลังอย่างสิ้นหวัง ขอรถแทรกเตอร์ให้เรา... แต่มีอีกด้านหนึ่งของเหรียญ: ดูตัวอย่างที่เมนบอร์ดตัวเดียวกัน: มี อุปกรณ์เซมิคอนดักเตอร์หลายร้อยชิ้น - MOSFET, ไดรเวอร์, ไมโครวงจรไฟฟ้า, สิ่งเล็กๆ น้อยๆ เสริมทุกอย่าง - เทคโนโลยี 1,000 นาโนเมตรก็เพียงพอสำหรับเกือบทั้งหมด อุปกรณ์อิเล็กทรอนิกส์ทางอุตสาหกรรมทั้งหมด และวงจรขนาดเล็กสำหรับการใช้งานในอวกาศและการทหาร เกือบ 100% ของกรณีเป็นเทคโนโลยีขนาด 180 นาโนเมตรหรือหนากว่านั้น ดังนั้น เทคโนโลยีล่าสุดจึงมีความจำเป็นสำหรับโปรเซสเซอร์ส่วนกลางเท่านั้น (ซึ่งผลิตได้ยาก/แพงเนื่องจากมีความเสี่ยงสูงและมีเกณฑ์ในการเข้าสู่ตลาดสูง) และ "เครื่องอุ่นก้น" ต่างๆ (iPhone ฯลฯ) หากจู่ๆ สงครามเกิดขึ้นและรัสเซียขาดการนำเข้า ก็เป็นไปได้ที่จะอยู่ได้โดยปราศจาก "เครื่องอุ่นตูด" แต่ไม่ใช่หากไม่มีอุปกรณ์อิเล็กทรอนิกส์ทางอุตสาหกรรม อวกาศ และอุปกรณ์ทางการทหาร เหล่านั้น. ในความเป็นจริงเราเห็นว่าสิ่งที่มีความสำคัญต่อประเทศนั้นผลิตในรัสเซียทุกครั้งที่เป็นไปได้ (หรือซื้อเพื่อใช้ในอนาคต) และสิ่งเหล่านั้นที่สามารถอยู่ได้โดยปราศจาก เป็นทางเลือกสุดท้าย- นำเข้า

มีปัจจัยอื่นอีก - ราคาหน้ากากอนามัยเท่ากัน หากเราต้องการสร้างไมโครเซอร์กิตแบบง่ายๆ การสร้างมาสก์ 32 นาโนเมตรซึ่งมีราคา 5 ล้านเหรียญสหรัฐในการผลิตนั้นสามารถทำกำไรได้หากไมโครเซอร์กิตนี้ผลิตออกมาจำนวนหลายสิบและหลายร้อยล้านชุด และหากเราต้องการเพียง 100,000 ไมโครวงจรก็จะได้กำไรมากกว่าที่จะประหยัดมาสก์และผลิตไมโครวงจรโดยใช้เทคโนโลยีที่หนาที่สุด นอกจากนี้ไมโครวงจรยังมีแผ่นสัมผัสที่เชื่อมต่อหมุดของวงจรไมโคร - ไม่มีที่ไหนที่จะลดพวกมันได้ ดังนั้นหากพื้นที่ของไมโครเซอร์กิตเทียบได้กับพื้นที่ของแผ่นสัมผัสก็ไม่มีประเด็นในการสร้างไมโครเซอร์กิตโดยใช้เทคโนโลยีทินเนอร์ (เว้นแต่แน่นอนว่า "มาตรฐานหนา" จะเป็นไปตาม ข้อกำหนดด้านความเร็วและการใช้พลังงาน)

เป็นผลให้ไมโครวงจรส่วนใหญ่ในโลกถูกสร้างขึ้นโดยใช้เทคโนโลยี "หนา" (350–500 นาโนเมตรและหนากว่า) และไมโครวงจรหลายพันล้านที่ส่งออกจากโรงงานในรัสเซีย (แม้ว่าส่วนใหญ่จะอยู่ในรูปแบบของเวเฟอร์) จึงเป็นที่ต้องการและ ขายแล้ว (ดังนั้นในเมนบอร์ดและโทรศัพท์มือถือจึงมีวงจรไมโครและทรานซิสเตอร์กำลัง - แต่ใช้ชื่อต่างประเทศ)

และในที่สุด American F-22 Raptor จนกระทั่งเมื่อไม่นานมานี้ได้บินด้วยโปรเซสเซอร์ Intel 960mx ซึ่งพัฒนาขึ้นในปี 1984 การผลิตในสหรัฐอเมริกานั้นเป็นไปตามมาตรฐาน 1,000–1500 นาโนเมตร - ไม่มีใครพูดถึงความจริงที่ว่าคนอเมริกันใช้อุปกรณ์อิเล็กทรอนิกส์แบบถอยหลัง เครื่องบิน (แม้ว่าจะโอเค แต่ก็มีเสียงพึมพำเล็กน้อย) สิ่งสำคัญไม่ใช่นาโนเมตร แต่เป็นการปฏิบัติตามผลิตภัณฑ์ขั้นสุดท้ายกับข้อกำหนดทางเทคนิค

ประวัติย่อ

เศรษฐกิจตลาดของเอลฟ์และการผลิตไมโครอิเล็กทรอนิกส์เป็นสิ่งที่เข้ากันได้ไม่ดี ยิ่งขุดมาก ยิ่งเห็นตลาดน้อย เงินอุดหนุน พันธมิตร ข้อจำกัดด้านสิทธิบัตร และความสุขอื่นๆ มากขึ้น” ตลาดเสรี- ธุรกิจในอุตสาหกรรมนี้ถือเป็นเรื่องใหญ่อย่างหนึ่ง ปวดศีรษะด้วยความเสี่ยงมหาศาล วิกฤตการผลิตล้นเกินอย่างต่อเนื่อง และผลกำไรจากผู้ผูกขาดเท่านั้น

ไม่น่าแปลกใจเลยที่ในรัสเซียพวกเขาพยายามผลิตสิ่งเล็กๆ แต่เป็นของตัวเอง เพื่อรักษาความเป็นอิสระและเสียเงินน้อยลง ไม่มีกำไรเลย สภาวะตลาดไม่จำเป็นต้องพูดคุย

ไม่ใช่ว่าวงจรขนาดเล็กทั้งหมดจะต้องการผลิตที่ 22-32 นาโนเมตร วงจรขนาดเล็กส่วนใหญ่จะทำกำไรได้มากกว่าหากผลิตบนอุปกรณ์ขนาด 180-500 นาโนเมตรรุ่นเก่า เนื่องจากต้นทุนของหน้ากากและปริมาณการผลิต

ในบทความต่อไปนี้ ฉันจะบอกคุณเกี่ยวกับคุณสมบัติของอวกาศและไมโครอิเล็กทรอนิกส์ทางการทหาร และเกี่ยวกับสถานะปัจจุบันของไมโครอิเล็กทรอนิกส์ในรัสเซีย

หน้า 1


เทคโนโลยีการผลิตไมโครวงจรอาจไม่เพียงแต่เหมือนกับที่อธิบายไว้ข้างต้นเท่านั้น ในการผลิตจะใช้แผ่นเซรามิกหรือแก้วเป็นสารตั้งต้น การเชื่อมต่อระหว่างส่วนประกอบต่างๆ ของวงจรฟิล์ม Race นั้นเกิดจากการสปัตเตอร์ฟิล์มสีทองหรือเงินลงบนพื้นผิวในสุญญากาศสูง ฟิล์ม Ni-chrome หรือแทนทาลัมใช้เพื่อสร้างตัวต้านทาน  

คุณสมบัติของเทคโนโลยีการผลิตไมโครวงจรยังกำหนดลักษณะเฉพาะของภาพวาดด้วย เมื่อผลิตวงจรรวมแบบฟิล์มบางไฮบริด จะมีการพัฒนาแบบร่างของแผงวงจรหลายชั้น ภาพวาดเหล่านี้แสดงตำแหน่งและโครงถักขององค์ประกอบและการเชื่อมต่อ  


ปัจจุบันเทคโนโลยีการผลิตชิปได้ก้าวไปถึงระดับที่ทำให้สามารถสร้างวงจรรวมขนาดใหญ่ได้  

ขึ้นอยู่กับเทคโนโลยีการผลิต ไมโครวงจรแบ่งออกเป็นเซมิคอนดักเตอร์และฟิล์ม วงจรฟิล์มจะแบ่งออกเป็นฟิล์มบางและฟิล์มหนา แบบแรกผลิตโดยวิธีการระเหยความร้อนของวัสดุและการสปัตเตอร์แคโทด แบบหลังโดยวิธีการพิมพ์ซิลค์สกรีนและการเผาเพสต์พิเศษลงในเซรามิก ไมโครวงจรไมโครฟิล์มบางประเภทที่ใช้ในช่วงไมโครเวฟคือวงจรไมโครสตริป ตามระดับของการรวมและการใช้งานในอุปกรณ์อิเล็กทรอนิกส์อิเล็กทรอนิกส์ วงจรไมโครจะถูกแบ่งออกเป็นวงจรขนาดเล็กสำหรับการใช้งานทั่วไปและส่วนตัว  

เมื่อเทคโนโลยีการผลิตชิปพัฒนาขึ้น ระดับสูงบูรณาการและเทคโนโลยี MOS จำเป็นต้องกำจัดการทำงานของการวาดภาพขนาดใหญ่ของโฟโตมาสก์ดั้งเดิมของไมโครวงจร  

รอบเวลาคำสั่งของไมโครโปรเซสเซอร์ U808D ถูกกำหนดโดยเทคโนโลยีการผลิตชิป ในเทคโนโลยี p-MOS ที่ใช้ในกรณีนี้ เวลารอบสูงสุดคือ 13 5 μs  

ลักษณะของการเชื่อมต่อเหล่านี้ขึ้นอยู่กับวิธีการฉนวนและเทคโนโลยีการผลิตชิป ในระดับที่น้อยกว่า สารตั้งต้นจะส่งผลต่อพารามิเตอร์ของทรานซิสเตอร์เมื่อใช้ฉนวนอิเล็กทริก  

เทคโนโลยีสำหรับการผลิตไมโครวงจรของกลุ่มแรกเรียกว่าระนาบและเทคโนโลยีสำหรับการผลิตไมโครวงจรของกลุ่มที่สองเรียกว่าระนาบ - epitaxis  

การเพิ่มความซับซ้อนของไอซี ข้อกำหนดที่เข้มงวดยิ่งขึ้นสำหรับความน่าเชื่อถือ การขยายพื้นที่การใช้งานด้วยผลกระทบในการปฏิบัติงานที่เพิ่มขึ้นอย่างต่อเนื่องไม่เพียงแต่ต้องปรับปรุงการออกแบบและเทคโนโลยีการผลิตของไมโครวงจรเท่านั้น แต่ยังต้องมีองค์กรที่ชัดเจนของแนวทางแบบครบวงจรในการแก้ไขปัญหาด้านระเบียบวิธีเมื่อประเมิน คุณภาพและความน่าเชื่อถือของไอซี มอบสถานที่สำคัญสำหรับการทดสอบ IC  

วิธีการโฮโลแกรมกำลังแพร่หลายมากขึ้น การประยุกต์ใช้จริงสำหรับการแก้ปัญหาต่างๆ เช่น การจดจำรูปแบบ การสร้างบล็อกหน่วยความจำความจุสูง ข้อมูลอินพุตและเอาต์พุต ในเทคโนโลยีการผลิตไมโครวงจร และอื่นๆ อีกมากมาย  

หากทราบเทคโนโลยีสำหรับการผลิตไมโครวงจร โครงสร้างทางกายภาพจะถูกเลือก พารามิเตอร์ทางกายภาพจะถูกคำนวณ และจากข้อมูลเหล่านี้ พารามิเตอร์ขององค์ประกอบที่ใช้งานและแฝงจะถูกคำนวณ หากเทคโนโลยีที่มีอยู่ไม่ตรงตามข้อกำหนดของไมโครวงจรที่ออกแบบ ขั้นแรกให้คำนวณตามพารามิเตอร์ทางไฟฟ้าขององค์ประกอบที่ใช้งานอยู่ โครงสร้างทางกายภาพแล้วกำหนดโหมดทางเทคโนโลยี  

การเปลี่ยนแปลงในตลาดคอมพิวเตอร์เกิดจากการถือกำเนิดของไมโครชิป ซึ่งทำให้สามารถสร้างมินิคอมพิวเตอร์ที่ราคาไม่แพงสำหรับองค์กรขนาดเล็ก คอมพิวเตอร์เหล่านี้ได้รับการตอบรับอย่างดี (และยังคงขายดี) แต่มีการเปลี่ยนแปลงใหม่เกิดขึ้น การพัฒนาเทคโนโลยีการผลิตชิปได้นำไปสู่การสร้างคอมพิวเตอร์ขนาดเล็ก (ไมโครคอมพิวเตอร์) ที่มีประสิทธิภาพเทียบได้กับคอมพิวเตอร์ขนาดเล็กหรือขนาดใหญ่ แต่ด้วยราคาที่ต่ำจนมีจำหน่ายไม่เฉพาะกับองค์กรขนาดเล็กเท่านั้น แต่ยังรวมถึงบุคคลทั่วไปด้วย ผู้ใช้ และเมื่อคอมพิวเตอร์เหล่านี้เริ่มมีการขายในปริมาณมากและ จำนวนมากหลากหลายรุ่นจึงต้องสร้างแบบพัฒนา ซอฟต์แวร์ให้กับผู้ใช้ในร้านค้าใดก็ได้  

คุณเคยอยู่ในใจกลางของอุตสาหกรรมเซมิคอนดักเตอร์ - โรงงานชิปหรือไม่?

คุณเคยอยู่ในใจกลางของอุตสาหกรรมเซมิคอนดักเตอร์ - โรงงานชิปหรือไม่? โครงสร้างแต่ละอย่างเป็นการสร้างสรรค์ที่สามารถสร้างความประทับใจให้กับทุกคน แม้แต่คนที่ไม่ได้ฝึกหัดในกระบวนการผลิตก็ตาม

ผู้ที่ไปเยี่ยมชมที่นั่นมีความรู้สึกว่าพวกเขากำลังเดินทางอันแสนมหัศจรรย์ไปสู่จอมปลวกแห่งอนาคตของหุ่นยนต์หรือภายในไมโครเซอร์กิตเอง ที่นั่น ในห้องปลอดเชื้อขนาดเท่าสนามฟุตบอลสามสนาม หุ่นยนต์และผู้เชี่ยวชาญหลายสิบคนสวมชุดอวกาศและหมวกกันน็อคก็รีบวิ่งไปรอบๆ และเครื่องจักรที่มีความแม่นยำสูงสำหรับการผลิตไมโครชิป “ลอย” บนแท่นพิเศษ ส่องสว่างด้วยแสงสีเหลืองส้ม...

ขั้นตอนของการผลิตชิปและการพิมพ์หินด้วยแสง

วงจรรวมถูกสร้างขึ้นบนพื้นผิวของซิลิคอนโมโนคริสตัลไลน์ (ซิลิคอน (Si) ถูกนำมาใช้เนื่องจากเป็นเซมิคอนดักเตอร์ที่เหมาะสมที่สุดสำหรับวัตถุประสงค์เหล่านี้ ในทางกลับกัน เซมิคอนดักเตอร์เป็นวัสดุประเภทหนึ่งที่มีค่าการนำไฟฟ้าอยู่ระหว่างค่าการนำไฟฟ้าของตัวนำ (ส่วนใหญ่เป็นโลหะ ) และฉนวน (ไดอิเล็กทริก) ซิลิคอนยังสามารถทำหน้าที่เป็นทั้งอิเล็กทริกและตัวนำ - ขึ้นอยู่กับปริมาณและประเภทของสิ่งเจือปนอื่น ๆ ที่มีอยู่ในนั้น องค์ประกอบทางเคมี- และคุณสมบัตินี้ใช้กันอย่างแพร่หลายในการผลิตไมโครวงจร อย่างไรก็ตาม ในบางกรณีที่เกิดขึ้นไม่บ่อยนัก มีการใช้วัสดุอื่นแทนซิลิคอน โดยเฉพาะอย่างยิ่ง Intel สามารถนำทรานซิสเตอร์แบบเฮเทอโรจังก์ชั่นไบโพลาร์ (HBT) ไปใช้กับซิลิคอน-เจอร์เมเนียม (SiGe) ในเทคโนโลยีการผลิต 90 นาโนเมตร โดยการสร้างเลเยอร์ที่แตกต่างกันตามลำดับบนวงกลมบาง (น้อยกว่าหนึ่งมิลลิเมตร) (เส้นผ่านศูนย์กลางสูงสุด 30 ซม. ) เวเฟอร์ซิลิคอนเรียกว่าสารตั้งต้น [เวเฟอร์บางถูกตัดจากกระสุนทรงกระบอกยาวหนักของซิลิคอนโมโนคริสตัลไลน์ ซึ่งปลูกโดยใช้วิธีการที่มีความแม่นยำพิเศษ จากนั้นจานจะถูกขัดให้เงาเหมือนกระจกโดยใช้วิธีทางกลและเคมี พื้นผิว "การทำงาน" (นั่นคือพื้นผิวที่ไมโครเซอร์กิตถูกสร้างขึ้นเพิ่มเติม) ของแผ่นจะต้องเรียบและสมบูรณ์แบบในระดับอะตอมและมีการวางแนวผลึกศาสตร์ที่แม่นยำมาก (คล้ายกับแง่มุมต่าง ๆ ของเพชรเมื่อทำการตัด แต่สมบูรณ์แบบยิ่งกว่านั้นอีก)] ชั้นต่างๆ เกิดขึ้นจากกระบวนการต่างๆ โดยใช้สารเคมี ก๊าซ และแสง การผลิตไมโครโปรเซสเซอร์สมัยใหม่เป็นกระบวนการที่ซับซ้อนซึ่งประกอบด้วยขั้นตอนมากกว่าสามร้อยขั้นตอน - มากกว่ายี่สิบชั้นเชื่อมต่อกันอย่าง "ประณีต" เพื่อสร้างวงจรไมโครโปรเซสเซอร์ที่มีโครงสร้างสามมิติ จำนวนชั้นที่แน่นอนบนพื้นผิว (เวเฟอร์) ขึ้นอยู่กับโครงการออกแบบของโปรเซสเซอร์เฉพาะ ไมโครโปรเซสเซอร์ที่เหมือนกันหลายร้อยตัวถูกสร้างขึ้นบนพื้นผิวซิลิกอนเดี่ยว และในขั้นตอนสุดท้ายจะถูกตัดเป็นชิปคริสตัลสี่เหลี่ยมแต่ละอัน

กระบวนการสร้างชั้นและรูปแบบต่างๆ ขององค์ประกอบไมโครเซอร์กิตบนพื้นผิวนั้นค่อนข้างชาญฉลาด (อันที่จริงนี่เป็นสาขาวิทยาศาสตร์ทั้งหมด) แต่มีพื้นฐานอยู่บนพื้นฐานเดียว ความคิดง่ายๆ: เนื่องจากขนาดคุณลักษณะของรูปแบบที่สร้างขึ้นมีขนาดเล็กมาก (ตัวอย่างเช่น เซลล์หน่วยความจำแคชของโปรเซสเซอร์บนคอร์เพรสคอตต์ 90 นาโนเมตรมีขนาดเล็กกว่าเซลล์เม็ดเลือดแดง (เม็ดเลือดแดง) ถึงร้อยเท่า และหนึ่งในทรานซิสเตอร์ของมันคือ ขนาดของไวรัสไข้หวัดใหญ่) การที่วัสดุบางชนิดสามารถฝากไว้ในสถานที่ที่ถูกต้องนั้นเป็นไปไม่ได้เลย มันง่ายกว่า - วัสดุจะถูกสะสมบนพื้นผิวทั้งหมดของวัสดุพิมพ์ในคราวเดียว จากนั้นจึงค่อย ๆ ดึงออกจากสถานที่เหล่านั้นที่มันอยู่ ไม่จำเป็น. ซึ่งสามารถทำได้โดยผ่านกระบวนการพิมพ์หินด้วยแสง

ห้องคลีนรูมคืออะไร และเหตุใดจึงใช้ในอุตสาหกรรมเซมิคอนดักเตอร์

ชิปชิปจะต้องผลิตภายใต้การควบคุมและในระดับสูง อากาศบริสุทธิ์- เนื่องจากองค์ประกอบการทำงาน (ทรานซิสเตอร์ ตัวนำ) บนไมโครชิปมีขนาดเล็กมาก อนุภาคแปลกปลอม (ฝุ่น ควัน หรือสะเก็ดผิวหนัง) ที่เกาะอยู่บนแผ่นเวเฟอร์ที่มีวงจรไมโครในอนาคตในขั้นตอนกลางของการผลิตอาจทำให้คริสตัลทั้งหมดเสียหายได้ ห้องสะอาดจำแนกตามขนาดและจำนวนอนุภาคขนาดเล็กที่มีอยู่ต่อหน่วยปริมาตร (ลูกบาศก์ฟุต ประมาณหนึ่งในสามสิบของลูกบาศก์เมตร) ของอากาศ ตัวอย่างเช่น ห้องคลาส 1 ที่ใช้ในการผลิตสมัยใหม่จะสะอาดกว่าห้องผ่าตัดประมาณพันเท่า ห้องคลีนรูมควบคุมความบริสุทธิ์ของอากาศโดยการกรองอากาศที่เข้ามา ขจัดสิ่งสกปรกออกจากการติดตั้ง การเคลื่อนตัวของอากาศแบบลามิเนตจากเพดานถึงพื้น (ภายในประมาณหกวินาที) และการปรับความชื้นและอุณหภูมิ ผู้คนใน "ห้องสะอาด" สวมชุดอวกาศพิเศษที่คลุมผมทั้งหมด (และในบางกรณี แม้แต่ระบบหายใจของตัวเอง) เพื่อขจัดการสั่นสะเทือน ห้องคลีนรูมจึงตั้งอยู่บนฐานป้องกันการสั่นสะเทือนในตัว

การพิมพ์หินด้วยแสงเป็นพื้นฐานที่ไม่สั่นคลอนของการผลิตไมโครวงจร และในอนาคตอันใกล้นี้ไม่น่าจะทดแทนได้อย่างคุ้มค่า ดังนั้นจึงควรพิจารณารายละเอียดเพิ่มเติม ตัวอย่างเช่น เราจำเป็นต้องสร้างลวดลายในชั้นของวัสดุบางชนิด เช่น ซิลิคอนไดออกไซด์หรือโลหะ (ซึ่งเป็นขั้นตอนที่พบบ่อยที่สุดในการผลิตสมัยใหม่) ก่อนอื่นชั้นของวัสดุที่ต้องการจะถูกสร้างขึ้นบนพื้นผิวที่บาง (โดยปกติจะบางกว่าหนึ่งไมครอน) และต่อเนื่องโดยไม่มีข้อบกพร่องไม่ทางใดก็ทางหนึ่ง ถัดไปจะทำการถ่ายภาพด้วยแสง ในการดำเนินการนี้ ขั้นแรกให้ทาชั้นบาง ๆ ของวัสดุไวแสงที่เรียกว่าโฟโตรีซิสต์ลงบนพื้นผิวของเวเฟอร์ (โฟโตรีซิสต์จะใช้จากเฟสของเหลว โดยกระจายอย่างสม่ำเสมอบนพื้นผิวของเวเฟอร์โดยการหมุนด้วยเครื่องหมุนเหวี่ยงและทำให้แห้งจนกระทั่ง มันแข็งตัว) จากนั้น แผ่นเวเฟอร์ที่มีโฟโตรีซิสต์จะถูกวางในการติดตั้งที่มีความแม่นยำ โดยที่พื้นที่ที่ต้องการของพื้นผิวจะถูกฉายรังสีด้วยแสงอัลตราไวโอเลตผ่านรูโปร่งใสในโฟโตมาสก์ (หรือที่เรียกว่าโฟโตมาสก์) หน้ากากมีรูปแบบที่สอดคล้องกัน (ใช้กับพื้นผิวของแผ่นเวเฟอร์) ซึ่งพัฒนาขึ้นสำหรับแต่ละชั้นในระหว่างกระบวนการออกแบบชิป ภายใต้อิทธิพลของรังสีอัลตราไวโอเลต พื้นที่ที่ได้รับรังสีของโฟโตรีซิสต์จะเปลี่ยนคุณสมบัติของพวกมัน ดังนั้นจึงสามารถเลือกกำจัดพวกมันออกได้โดยใช้รีเอเจนต์เคมีบางชนิด (มีโฟโตรีซิสต์เชิงลบและบวก ตัวหนึ่ง “จะแข็งแกร่งขึ้น” เมื่อถูกฉายรังสี ดังนั้นจึงไม่- พื้นที่ที่ถูกฉายรังสีจะถูกลบออกในขณะที่อีกพื้นที่หนึ่งสูญเสียความต้านทานต่อสารเคมีดังนั้นพื้นที่ที่ถูกฉายรังสีจึงถูกลบออก ดังนั้นจึงแยกแยะการถ่ายภาพด้วยแสงเชิงบวกและเชิงลบ) หลังจากถอดโฟโตรีซิสต์ออกแล้ว เฉพาะพื้นที่ของพื้นผิวเวเฟอร์ที่ต้องดำเนินการเท่านั้นที่ยังคงเปิดอยู่ การดำเนินการที่จำเป็น- ตัวอย่างเช่น เอาชั้นอิเล็กทริกหรือโลหะออก พวกมันจะถูกลบออกได้สำเร็จ (ขั้นตอนนี้เรียกว่าการแกะสลัก - เคมีหรือพลาสมาเคมี) หลังจากนั้นในที่สุดก็สามารถกำจัดโฟโตรีสต์ที่เหลือออกจากพื้นผิวของแผ่นเวเฟอร์ได้ โดยเผยให้เห็นรูปแบบที่เกิดขึ้นในชั้นของวัสดุที่ต้องการเพื่อดำเนินการต่อไป เสร็จสมบูรณ์

ในการผลิตไมโครโปรเซสเซอร์สมัยใหม่ จำเป็นต้องดำเนินการถ่ายภาพด้วยแสงมากถึง 20–25 ครั้งในแต่ละครั้งบนเลเยอร์ใหม่ รวมๆแล้วใช้เวลาหลายสัปดาห์! ในบางกรณี สิ่งเหล่านี้คือชั้นของวัสดุฉนวนที่ทำหน้าที่เป็นไดอิเล็กตริกเกตของทรานซิสเตอร์หรือชั้นฉนวน (ฉนวน) ระหว่างทรานซิสเตอร์และตัวนำ ในส่วนอื่น ๆ นี่คือการก่อตัวของการนำประตูโพลีซิลิคอนของทรานซิสเตอร์และตัวนำโลหะที่เชื่อมต่อทรานซิสเตอร์ (เพื่อความเรียบง่ายบางครั้งการดำเนินการบางอย่างจะรวมกัน - ตัวอย่างเช่นสิ่งที่เรียกว่าประตูปรับแนวได้เองนั้นทำบนพื้นฐานของ การพิมพ์หินด้วยแสงแบบเดียวกันโดยการสร้างรูปแบบของเกตอิเล็กทริกและเกตโพลีซิลิคอนแบบบางไปพร้อมๆ กัน) ประการที่สาม นี่คือการก่อตัวของบริเวณที่มีสารเจือแบบเลือกสรร (ส่วนใหญ่เป็นท่อระบายน้ำและแหล่งที่มาของทรานซิสเตอร์) และการเติมพื้นที่ของพื้นผิวของเวเฟอร์ซิลิคอนผลึกเดี่ยวที่มีอะตอมแตกตัวเป็นไอออนขององค์ประกอบทางเคมีต่างๆ (เพื่อสร้าง n- หรือ p- ภูมิภาคเซมิคอนดักเตอร์ประเภทในซิลิคอน) ไม่ได้ทำผ่านหน้าต่างในโฟโตรีซิสต์ (มันไม่เสถียรเกินไปสำหรับสิ่งนี้) และผ่านรูปแบบในชั้นอิเล็กทริกที่ใช้ที่มีความหนาเพียงพอ (ตัวอย่างเช่น ซิลิคอนออกไซด์เดียวกัน) หลังจากนั้นอิเล็กทริกจะถูกลบออกพร้อมกับโฟโตรีซิสต์

บางครั้งมีการใช้วิธีการที่น่าสนใจ เช่น การพิมพ์หินด้วยการระเบิด นั่นคือ ขั้นแรกจะมีรูปแบบเกิดขึ้น (หน้าต่างจะถูกแกะสลักเข้าไปในชั้นโฟโตรีซิสต์หรือชั้นไดอิเล็กทริกชั่วคราว) จากนั้นจึงมีการใช้ชั้นวัสดุใหม่อย่างต่อเนื่อง (เช่น โลหะ) บนพื้นผิวของเวเฟอร์ และสุดท้ายก็วางเวเฟอร์เข้าไป รีเอเจนต์ที่จะกำจัดส่วนที่เหลือของโฟโตรีซิสต์หรืออิเล็กทริกชั่วคราว เป็นผลให้เลเยอร์ที่ถูกลบออกดูเหมือนจะ "ระเบิด" จากด้านในโดยเอาชิ้นส่วนของโลหะที่ใช้ครั้งสุดท้ายที่วางอยู่บนมันออกไปและในบริเวณที่ "เปิด" ก่อนหน้านี้ (หน้าต่าง) โลหะยังคงอยู่และสร้างรูปแบบการทำงาน เราต้องการ (ตัวนำหรือประตู) และนี่เป็นเพียงส่วนเล็กของภูเขาน้ำแข็งที่เรียกว่าเทคโนโลยีไมโครอิเล็กทรอนิกส์ ซึ่งมีพื้นฐานอยู่บนหลักการของการพิมพ์หินด้วยแสง

ดังนั้น โครงสร้างสามมิติที่ซับซ้อนที่มีความหนาหลายไมครอนจึงถูกสร้างขึ้นบนพื้นผิวของแผ่นเวเฟอร์ซิลิคอน ซึ่งในความเป็นจริงแล้ว วงจรอิเล็กทรอนิกส์- ด้านบนวงจรถูกปกคลุมด้วยชั้นอิเล็กทริกแบบพาสซีฟติ้งหนา (ไมครอน) เพื่อปกป้องโครงสร้างบางจากอิทธิพลภายนอก โดยจะเปิดเฉพาะหน้าต่างสำหรับแผ่นสัมผัสโลหะสี่เหลี่ยมขนาดใหญ่หลายสิบไมครอน ซึ่งแรงดันไฟฟ้าและสัญญาณไฟฟ้าจะถูกส่งไปยังวงจรจากภายนอก และจากด้านล่าง พื้นฐานทางกลของไมโครเซอร์กิตคือแผ่นเวเฟอร์ซิลิคอนที่มีความหนาหลายร้อยไมครอน ตามทฤษฎีแล้ว วงจรดังกล่าวสามารถทำให้บางมากได้ (10–30 ไมครอน) และหากต้องการ ก็สามารถ "รีดเป็นท่อ" ได้โดยไม่สูญเสียฟังก์ชันการทำงาน และงานที่คล้ายกันนี้ได้ดำเนินการมาระยะหนึ่งแล้วในบางทิศทาง แม้ว่าคริสตัลไมโครวงจร (ชิป) แบบดั้งเดิมจะยังคง "ไม่ยืดหยุ่น"

หลังจากเสร็จสิ้นขั้นตอนทางเทคโนโลยีแล้ว คริสตัลแต่ละชิ้นบนแผ่นเวเฟอร์จะถูกทดสอบ (มีข้อมูลเพิ่มเติมเกี่ยวกับเรื่องนี้ในบทความถัดไป) จากนั้นแผ่นเวเฟอร์จะถูกตัดเป็นคริสตัลแต่ละชิ้น (ชิปสี่เหลี่ยม) โดยใช้เลื่อยเพชร (ก่อนที่จะตัดเป็นคริสตัล ความหนา ของเวเฟอร์ในไมโครโปรเซสเซอร์สมัยใหม่จะลดลงประมาณหนึ่งในสามเมื่อใช้การขัดแบบเชิงกล ซึ่งจะช่วยให้สามารถวางลงในบรรจุภัณฑ์ที่มีขนาดกะทัดรัดมากขึ้น การขัดด้านหลังยังมีจุดประสงค์ในการขจัดวัสดุแปลกปลอมด้วยการก่อตัวของหน้าสัมผัสทางไฟฟ้าและกาวในภายหลัง วัสดุพิมพ์ระหว่างการบรรจุ) ถัดไป ชิปแต่ละตัวจะบรรจุอยู่ในตัวเครื่องของตัวเอง ซึ่งช่วยให้สามารถเชื่อมต่อกับอุปกรณ์อื่นๆ ได้ ประเภทของบรรจุภัณฑ์ขึ้นอยู่กับประเภทของชิปและวิธีการใช้งาน สุดท้าย ชิปที่บรรจุหีบห่อทั้งหมดจะได้รับการทดสอบอีกครั้ง (ชิปที่ไม่เหมาะสมจะถูกปฏิเสธ ชิปที่เหมาะสมจะผ่านการทดสอบความเครียดพิเศษที่อุณหภูมิและความชื้นต่างๆ รวมถึงการทดสอบการปล่อยประจุไฟฟ้าสถิต) จัดเรียงตามคุณลักษณะและความสอดคล้องกับข้อกำหนดเฉพาะบางประการ และจัดส่งให้กับลูกค้า

เทคโนโลยี Intel Copy Exactly

สำหรับผู้ผลิตชิปส่วนใหญ่ อุปกรณ์และกระบวนการที่ใช้ในห้องปฏิบัติการ R&D จะแตกต่างจากที่ใช้ในโรงงานผลิตของตน ผลิตภัณฑ์สำเร็จรูป- และเมื่อถ่ายโอนการผลิตจากการนำร่องไปสู่การผลิตแบบอนุกรม ความล่าช้าร้ายแรงมักเกิดขึ้นเนื่องจากอุปกรณ์ใหม่จำเป็นต้องมีการดัดแปลงและปรับใช้กระบวนการทางเทคโนโลยีอย่างมีนัยสำคัญเพื่อให้บรรลุ เปอร์เซ็นต์สูงผลผลิตของผลิตภัณฑ์ที่เหมาะสมที่ได้รับก่อนหน้านี้ในห้องปฏิบัติการ สิ่งนี้ไม่เพียงแต่ทำให้การผลิตจำนวนมากล่าช้าเท่านั้น แต่ยังนำไปสู่การเปลี่ยนแปลงพารามิเตอร์กระบวนการหลายร้อยรายการและแม้กระทั่งผลิตภัณฑ์ขั้นสุดท้ายอีกด้วย กรณีเดียวกันนี้จะเกิดขึ้นหากกระบวนการที่จัดตั้งขึ้นในโรงงานแห่งหนึ่งถูกถ่ายโอนไปยังอีกโรงงานหนึ่งด้วยอุปกรณ์ใหม่

เพื่อป้องกันต้นทุนที่อาจเกิดขึ้น Intel Corporation ซึ่งมีโรงงานเซมิคอนดักเตอร์มากกว่าหนึ่งโหลอยู่แล้ว เมื่อหลายปีก่อนได้แนะนำเทคโนโลยี Copy Exactly สาระสำคัญก็คือเมื่อเทคโนโลยีการผลิตของผลิตภัณฑ์เฉพาะถูกถ่ายโอนจากห้องปฏิบัติการไปยังโรงงานหรือระหว่าง โรงงานต่างๆ การทำซ้ำทั้งหมด (ซ้ำซ้อน) ของทุกสิ่งที่เกี่ยวข้องกับกระบวนการทางเทคนิคนี้จนถึงรายละเอียดที่เล็กที่สุด เพื่อจุดประสงค์นี้ ผู้จัดการโรงงานมีส่วนร่วมในการพัฒนาผลิตภัณฑ์โดยเฉพาะ และเมื่อถ่ายโอนเทคโนโลยี ทุกอย่างจะถูกคัดลอกอย่างแท้จริง ไม่เพียงแต่พารามิเตอร์อินพุตและเอาต์พุตของกระบวนการ (มากกว่า 500 รายการ!) แต่ยังรวมถึงการไหล อุปกรณ์ และการตั้งค่า ซัพพลายเออร์ของวัตถุดิบสำหรับกระบวนการทางเทคนิค ระบบท่อ ห้องสะอาด และแม้กระทั่งวิธีการฝึกอบรมบุคลากร

เทคนิคการถ่ายทอดเทคโนโลยีที่เป็นนวัตกรรมนี้ได้รับการพิสูจน์แล้วว่าประสบความสำเร็จอย่างมาก ปัจจุบัน ช่วยให้โรงงานต่างๆ สามารถเต็มกำลังการผลิตได้แทบจะในทันทีหลังจากเริ่มต้นธุรกิจ - ภายในไม่กี่สัปดาห์ นอกจากนี้ เทคโนโลยี Copy Exactly ยังช่วยให้โรงงานของบริษัทหนึ่งมีความยืดหยุ่นมากขึ้น: เวเฟอร์ที่เริ่มต้นในโรงงานแห่งหนึ่งสามารถดำเนินการให้เสร็จสิ้นในอีกโรงงานหนึ่งได้โดยไม่กระทบต่อคุณภาพและผลผลิต และในกรณีที่เกิดอุบัติเหตุหรือการปรับโครงสร้างโรงงานแห่งหนึ่ง โรงงานอื่น ๆ จะ "รับหน้าที่" งานของตนและธุรกิจจะไม่ได้รับผลกระทบในทางปฏิบัติ เทคโนโลยีนี้ยังได้รับความชื่นชมจากคู่แข่ง เช่น AMD และ IBM แม้ว่าปัจจุบันจะยังไม่สามารถใช้ได้ระหว่างกันก็ตาม เนื่องจากเส้นทางเทคโนโลยีของพวกเขาค่อนข้างแตกต่างกัน

โรงงานเซมิคอนดักเตอร์

ขณะนี้อุตสาหกรรมชิปใกล้จะถึงจุดสิ้นสุดของการปฏิวัติครั้งหนึ่งในรอบทศวรรษที่เปลี่ยนโฉมหน้าของอุตสาหกรรม ผู้ผลิตกำลังเปลี่ยนจากเวเฟอร์ที่มีเส้นผ่านศูนย์กลาง 200 มม. ไปเป็นเวเฟอร์ที่มีเส้นผ่านศูนย์กลาง 300 มม. (ดูรูปด้านขวา) ซึ่งส่งผลให้สามารถลดต้นทุนในการผลิตวงจรไมโครได้อย่างมากและด้วยเซมิคอนดักเตอร์อิเล็กทรอนิกส์ทั้งหมด สินค้า. ความจริงก็คือวัสดุพิมพ์ที่มีเส้นผ่านศูนย์กลาง 300 มม. ให้พื้นที่ของเวเฟอร์ซิลิคอนเพิ่มขึ้น 225% และเพิ่มผลผลิตที่มีประโยชน์ของชิปจากแต่ละวัสดุพิมพ์ 240% นอกจากนี้ คุณลักษณะด้านสิ่งแวดล้อมของการผลิตได้รับการปรับปรุงอย่างมีนัยสำคัญ ซึ่งต้องใช้สารเคมีและพลังงานต่อโปรเซสเซอร์น้อยลง และสร้างของเสียน้อยลง เมื่อเปรียบเทียบกับ fab ที่ทำงานบนเวเฟอร์ขนาด 200 มม. โรงงานใหม่ปล่อย VOCs น้อยลง 48% ใช้น้ำบริสุทธิ์พิเศษน้อยลง 42% และใช้พลังงานน้อยลงประมาณ 40% ตามข้อมูลของ Intel ต้นทุนแรงงานลดลง 50%

โรงงานสมัยใหม่ "300 มม." มีขนาดมหึมา สถานประกอบการอุตสาหกรรมมูลค่าประมาณ 2 พันล้านดอลลาร์และพื้นที่กว่าแสน ตารางเมตร- มีบริษัทชิปเพียงไม่กี่แห่งในปัจจุบัน (ดูแถบด้านข้างในหน้า 34 สำหรับบริษัท 20 อันดับแรก) ที่สามารถลงทุนในโรงงานราคาแพงเช่นนี้ได้ ท้ายที่สุดแล้ว ในการสร้างและดำเนินกิจการดังกล่าวต่อไป จำเป็นต้องมียอดขายต่อปีอย่างน้อย 6 พันล้านดอลลาร์ต่อโรงงาน โรงงานดังกล่าวมักเรียกว่า "โรงหล่อ" - หนึ่งในคำแปลของคำนี้เป็นภาษารัสเซียแปลว่า "โรงหล่อ" ชื่อนี้บ่งบอกถึงระดับอุตสาหกรรมขนาดมหึมา: กระบวนการทำเครื่องประดับในการผลิตองค์ประกอบไมโครโปรเซสเซอร์ที่มีเทคโนโลยีสูงกลายเป็นกระแสอุตสาหกรรมซึ่งมีขนาดที่เทียบเคียงได้กับขนาดของการผลิตของการประชุมเชิงปฏิบัติการด้านโลหะวิทยาขนาดใหญ่เท่านั้น ในปี 2000 เมื่อยอดขายชิปเฟื่องฟู มีเพียง 10 บริษัทในโลกที่มียอดขายมากกว่า 6 พันล้านดอลลาร์ ในบรรดา "ผู้พิทักษ์เก่า" ในปัจจุบันมีเพียง Intel, IBM, Infineon, AMD, Texas Instruments และ Samsung เท่านั้นที่เป็นเจ้าของโรงงานของตนเองสำหรับการผลิตชิปบนพื้นผิวขนาด 300 มม. ส่วนอื่นๆ ได้รับการสร้างขึ้นและจัดการร่วมกันโดยการรวมตัวกันของบริษัทต่างๆ เช่น Motorola - Philips - STMicroelectronics - Taiwan Semiconductor ผู้นำที่ไม่ต้องสงสัยไต้หวันอยู่ในแผนจะสร้างโรงงานแห่งใหม่ ในปี 2544 เกาะแห่งนี้ผลิตหนึ่งในห้าของการผลิตสารตั้งต้นทั้งหมดของโลก และภายในปี 2553 ส่วนแบ่งนี้อาจสูงถึง 40% จีน มาเลเซีย และสิงคโปร์ ตามมาติดๆ ไต้หวัน โดยมีแผนจะสร้างโรงงาน 15 แห่ง โดย 5 แห่งจะผลิตแผ่นเวเฟอร์ขนาด 300 มม.

Intel มีโรงงานระดับอุตสาหกรรมสี่แห่งแล้ว: F11X ใน Rio Rancho (นิวเม็กซิโก), D1C และ D1D สองแห่งใน Hillsboro (ออริกอน) และ Fab 24 ที่เพิ่งเริ่มดำเนินการในเมือง Leixlip ของไอร์แลนด์ ทั้งหมดสามารถผลิตโปรเซสเซอร์โดยใช้เทคโนโลยี 90 นาโนเมตร โรงงานแห่งที่ห้าคือ Fab 12 ในเมืองแชนด์เลอร์ (แอริโซนา) สำหรับเทคโนโลยีการผลิต 65 นาโนเมตร จะถูกถ่ายโอนไปยังเวเฟอร์ขนาด 300 มม. ภายในปี 2548 และตัวอย่างเช่น AMD วางแผนที่จะทดสอบการใช้งานโรงงาน Fab 36 ขนาด 300 มม. แห่งแรกในเท่านั้น ปีหน้า,ดูรีวิวได้ที่ www.terralab.ru/system/33692 ผู้เชี่ยวชาญเชื่อว่าโรงงานที่มีอยู่ซึ่งมีพื้นผิวขนาด 200 มม. จะสามารถลอยอยู่ในน้ำได้จนถึงปี 2548 หลังจากนั้นโรงงานจะไม่สามารถทนต่อการแข่งขันด้านราคาด้วยกระบวนการ 300 มม. อีกต่อไป ภายในปี 2548 ชิปจะถูกสร้างขึ้นโดยใช้เทคโนโลยี 65 นาโนเมตร และทรานซิสเตอร์หนึ่งพันล้านตัวจะถูกรวมเข้ากับไมโครโปรเซสเซอร์! ชิปจะมีขนาดเล็กมากจนสามารถฝังได้ โทรศัพท์มือถือพร้อมโทรออกด้วยเสียงผ่านปากกา

เหตุใดโรงงานชิปจึงมีราคาแพงมาก (สูงถึง 5 พันล้านดอลลาร์) โรงงานเซมิคอนดักเตอร์ทำงานได้ดีที่สุด งานที่ซับซ้อนในบรรดาโรงงานทั้งหมดในโลก พวกเขาใช้เฉพาะวัสดุพิเศษ โบลท์ องค์ประกอบโครงสร้าง อุปกรณ์ ฯลฯ นอกจากนี้ โรงงานของ Intel ก็มีขนาดใหญ่กว่าเกือบสองเท่าของ ขนาดกลางโรงงานที่คล้ายกันในโลก ตัวอาคารมีราคาประมาณ 25% ของต้นทุนรวมของโรงงาน และอีกสิบปีหลังการก่อสร้างก็ยังคงเป็นโครงสร้างที่เหมาะสมสำหรับการแก้ปัญหาที่ยากที่สุด งานที่ทันสมัย- อุปกรณ์ (การติดตั้งสำหรับการพิมพ์หินด้วยแสง การสะสมของเฟสก๊าซ การฝังไอออน) และเครื่องจักรบนพื้นจะมีค่าใช้จ่ายส่วนที่เหลืออีก 75%

มีการวัดเพิ่มเติมเพื่อให้แน่ใจว่ามีความต้านทานการสั่นสะเทือนของฐานรากและการติดตั้ง แม้ว่าโรงงานจะเป็นอาคารภายนอกอาคารเดียว แต่จริงๆ แล้วเป็นอาคารหลายหลังที่แยกออกจากกันด้วยระยะห่างขนาดใหญ่ (สูงถึง 10 ซม.) และแต่ละอาคารก็มีรากฐานเป็นของตัวเอง ซึ่งจะช่วยลดแรงสั่นสะเทือนต่างๆ - ทั้งจาก แหล่งข้อมูลภายนอก(ยานพาหนะ รถไฟ) และความสั่นสะเทือนของอุปกรณ์เอง

ข้อเท็จจริงที่น่าสนใจเกี่ยวกับ Intel Fab 11X 300 มม. ตัวแรก

  • ระบบประมวลผลเวเฟอร์อัตโนมัติ Fab 11X ประกอบด้วยโมโนเรลมากกว่า 5 กม. และตู้โดยสาร 165 คันเพื่อขนส่งคอนเทนเนอร์ที่มีสารตั้งต้นไปยังศูนย์แปรรูปของโรงงาน
  • ระบบคอมพิวเตอร์ที่ให้บริการ Fab 11X มีเซิร์ฟเวอร์สามร้อยเครื่อง คอมพิวเตอร์ไคลเอนต์ครึ่งพันเครื่อง หน่วยความจำดิสก์ 25,000 กิกะไบต์ ไฟเบอร์ออปติกมากกว่า 40 กม. และสายเคเบิลเครือข่ายทองแดงมากกว่า 900 กม.
  • Fab 11 มีขนาดใหญ่กว่าโรงงานผลิตเซมิคอนดักเตอร์ใดๆ ในโลกอย่างเห็นได้ชัด พื้นที่ทั้งหมดสถานที่ "สะอาด" ของโรงงานมีพื้นที่ 27,000 ตารางเมตร ด้วยการเปิดตัว Fab 11X ตัวเลขนี้จะเพิ่มขึ้นประมาณ 18,000 ตารางเมตร
  • ในช่วงสูงสุดของการก่อสร้าง มีผู้เข้าร่วมในการก่อสร้าง F11X จำนวน 3,000 คน รวมเวลาทำงานทั้งหมด 5.3 ล้านชั่วโมง ในขณะเดียวกัน อัตราการบาดเจ็บก็ต่ำเป็นประวัติการณ์ ซึ่งต่ำกว่าค่าเฉลี่ยของอุตสาหกรรมการก่อสร้างในสหรัฐฯ ถึงสี่เท่า
  • จะใช้เวลาอย่างน้อยสิบนาทีในการข้ามห้องปลอดเชื้อทั้งหมดของ Fab 11 และ Fab 11X ด้วยความเร็วเฉลี่ย
  • เวลาแรงงานที่ต้องการในหน่วยชั่วโมงคนสำหรับการก่อสร้าง F11X ในแต่ละวันนั้นสูงกว่าเวลาที่ใช้ในการก่อสร้างอาคารพักอาศัยสองหลัง
  • การก่อสร้าง F11X ใช้คอนกรีตประมาณ 50,000 ลูกบาศก์เมตร (ประมาณ 6,700 คัน) คอนกรีตจำนวนนี้สามารถปกคลุมสนามฟุตบอลที่มีชั้นสูงสิบเมตรได้
  • ใต้โรงงานมีกระสุนใต้ดิน 1,300 อัน แต่ละอันลึก 15–25 เมตร ส่วนใต้ดินของอาคารใช้คอนกรีตมากกว่าส่วนเหนือพื้นดิน
  • วงจรรวม, ไอซี, ไมโครวงจร, ชิป, ไมโครชิป (ไมโครชิป, ชิปซิลิคอน, ชิป) - แผ่นบาง ๆ แตกออก, ตัดออกจากบางสิ่งบางอย่าง - - เดิมทีคำนี้เรียกว่าแผ่นของคริสตัลไมโครวงจร) - อุปกรณ์ไมโครอิเล็กทรอนิกส์ - วงจรอิเล็กทรอนิกส์ที่มีความซับซ้อนตามอำเภอใจ ผลิตบนคริสตัลเซมิคอนดักเตอร์ (หรือฟิล์ม) และวางไว้ในตัวเรือนที่ไม่สามารถแยกออกได้

    บ่อยครั้งที่เข้าใจวงจรรวม (IC) ว่าเป็นคริสตัลหรือฟิล์มจริงที่มีวงจรอิเล็กทรอนิกส์ และไมโครวงจร (MC) ก็คือ IC ที่อยู่ในตัวเรือน ในขณะเดียวกัน คำว่า "ส่วนประกอบของชิป" หมายถึง "ส่วนประกอบที่ยึดบนพื้นผิว" ซึ่งตรงข้ามกับส่วนประกอบที่บัดกรีผ่านรูแบบดั้งเดิม ดังนั้นจึงถูกต้องกว่าที่จะพูดว่า "ชิปไมโครวงจร" ซึ่งหมายถึงไมโครวงจรติดบนพื้นผิว ในปี 2009 ไมโครวงจรส่วนใหญ่ผลิตขึ้นในแพ็คเกจแบบยึดบนพื้นผิว

    วงจรรวมสมัยใหม่ที่ออกแบบมาสำหรับการติดตั้งบนพื้นผิว

    ไมโครวงจรดิจิตอลของโซเวียตและต่างประเทศ

    เทคโนโลยีการผลิตอุปกรณ์เซมิคอนดักเตอร์และวงจรรวม

    ปัจจุบันเทคโนโลยีการผลิตเซมิคอนดักเตอร์ขึ้นอยู่กับกระบวนการประมวลผลที่มีความแม่นยำที่ซับซ้อน เช่น การพิมพ์หินด้วยภาพถ่ายและอิเล็กตรอน การเกิดออกซิเดชัน การสปัตเตอร์ไอออน-พลาสมา การฝังไอออน การแพร่กระจาย การบีบอัดความร้อน เป็นต้น วัสดุที่ใช้ในการผลิตอุปกรณ์และวงจรขนาดเล็กอาจมีอุณหภูมิสูง ข้อกำหนดสำหรับความบริสุทธิ์และความสมบูรณ์แบบของโครงสร้าง เพื่อดำเนินการทางเทคโนโลยีส่วนใหญ่มีการใช้อุปกรณ์พิเศษ: ออปติคัลกล, ความร้อน, ลำแสงไอออน กระบวนการนี้ดำเนินการในห้องปลอดฝุ่นพิเศษที่มีความชื้นและอุณหภูมิตามที่กำหนด

    เส้นทางเทคโนโลยี

    เส้นทางเทคโนโลยีคือลำดับของการดำเนินการทางเทคโนโลยีสำหรับการประมวลผลเวเฟอร์เซมิคอนดักเตอร์ที่ใช้สำหรับการผลิต PCB หรือ IC ประเภทที่กำหนด เอกสารที่มีคำอธิบายเส้นทางคือแผนที่เส้นทาง ช่วยให้คุณสามารถตัดสินความเคลื่อนไหวของอุปกรณ์ที่ผลิตผ่านการปฏิบัติงานทั้งหมด ระบุอุปกรณ์ วัสดุ มาตรฐานแรงงาน และการควบคุม การดำเนินการตามเทคโนโลยีแต่ละครั้งจะถูกควบคุมโดยแผนที่การปฏิบัติงานซึ่งมีคำอธิบายของการดำเนินการที่ระบุโหมดเทคโนโลยีสำหรับการผลิตโครงสร้างหรืออุปกรณ์และอุปกรณ์ทางเทคโนโลยี กระบวนการทางเทคโนโลยีการผลิต PCB และ IC ต่างๆ นั้นมีความหลากหลาย มีความเป็นไปได้ที่จะระบุการดำเนินการทางเทคโนโลยีทั่วไปจำนวนหนึ่งและลำดับที่เหมือนกันโดยประมาณ เส้นทางการผลิตทั่วไปสำหรับ PCB หรือ IC ครบชุดถูกกำหนดโดยลำดับของการดำเนินการพื้นฐานจำนวนหนึ่ง

    1. การเตรียมจาน เวเฟอร์เซมิคอนดักเตอร์ดั้งเดิม - โครงสร้าง epitax เช่นประเภท π-π + หรือพื้นผิวผลึกเดี่ยวที่มีค่าการนำไฟฟ้าชนิด n- หรือ p ซึ่งได้มาเป็นผลิตภัณฑ์กึ่งสำเร็จรูปจากผู้ผลิตจะต้องทำความสะอาดล้าง การแกะสลักเพื่อขจัดสิ่งปนเปื้อนออกจากพื้นผิว ชั้นที่มีค่าการนำไฟฟ้าชนิด π ในโครงสร้าง epitaxis π-π+ จะสร้างบริเวณตัวสะสมในทรานซิสเตอร์ในอนาคต (รูปที่ 1.1, a)

    2. การสร้างภาพวาดทอพอโลยี เพื่อสร้างบริเวณที่มีการนำไฟฟ้าชนิด p ในโครงสร้าง epitaxis จำเป็นต้องให้แน่ใจว่ามีการแพร่กระจายเฉพาะที่ผ่านหน้าต่าง - รูในหน้ากากป้องกัน ขนาดของหน้าต่างเหล่านี้ถูกกำหนดโดยใช้กระบวนการถ่ายภาพด้วยแสง ฟิล์มซิลิคอนไดออกไซด์ทำหน้าที่เป็นหน้ากากป้องกันการแพร่กระจาย การเติบโตเป็นขั้นตอนที่จำเป็นของกระบวนการภาพถ่าย ฟิล์มซิลิคอนไดออกไซด์ 7 Si02 ที่มีความหนา 0.3-1.0 ไมครอน ช่วยปกป้องโครงสร้างจากผลกระทบต่างๆ ได้อย่างน่าเชื่อถือ ปัจจัยภายนอกและการแพร่กระจายของสิ่งสกปรก ชั้นของโฟโตรีซิสต์ - โฟโตอิมัลชัน - ถูกนำไปใช้กับฟิล์ม และสัมผัสกับแสงอัลตราไวโอเลตผ่านโฟโตมาสก์ที่ประกอบด้วยภาพฐานทรานซิสเตอร์ที่เหมือนกันหลายภาพ โดยมีการกำหนดค่าและขนาดที่กำหนด บริเวณที่สัมผัสของโฟโตรีซิสต์จะถูกเปิดเผย และฟิล์ม Si02 ที่ถูกสัมผัสจะถูกลบออก หน้าต่างที่เปิดขึ้นเพื่อการแพร่กระจายขั้นพื้นฐานจะแสดงอยู่ในรูปที่ 1 1.1 ข.

    3. การหาจุดเชื่อมต่อ pn จากฐานถึงตัวสะสม ในการกำหนดปริมาณสิ่งเจือปนที่นำเข้าสู่คริสตัล - อะตอมโบรอนอย่างแม่นยำเมื่อสร้างบริเวณ p-base - ใช้กระบวนการปลูกถ่ายไอออนซึ่งประกอบด้วยการนำไอออนเร่งเข้าสู่พื้นผิวของคริสตัล ชั้นโฟโตรีซิสทำหน้าที่ หน้ากากป้องกันเนื่องจากไอออนที่ฝังอยู่ในโฟโตรีซิสต์ไปไม่ถึงพื้นผิวไดออกไซด์ ในการสร้างขอบเขตฐานและรอยต่อ pn ของฐานสะสมที่ความลึกที่ต้องการ จะใช้การเร่งความเร็วการแพร่กระจายของอะตอมโบรอนที่ฝังอยู่ในภายหลัง ดำเนินการในสภาพแวดล้อมออกซิไดซ์ที่อุณหภูมิสูง เป็นผลให้เกิดบริเวณฐานที่มีความลึก 2--3 ไมครอน และฟิล์ม Si02 ที่มีความหนา 0.3--0.5 ไมครอนจะเติบโตบนพื้นผิวของบริเวณฐาน (รูปที่ 1.1, c)

    4. การได้รับตัวปล่อย p-n-nepexoda - ฐาน ขั้นแรก รูปแบบทอพอโลยีของบริเวณตัวปล่อยถูกสร้างขึ้นโดยใช้กระบวนการโฟโตลิโธกราฟีบนฟิล์ม SiO2 เหนือบริเวณฐาน ในเวลาเดียวกัน ให้เปิดหน้าต่างที่ระบุการกำหนดค่าของผู้ติดต่อตัวรวบรวม 8 ราย โฟโตรีซิสต์จะถูกลบออกและฟอสฟอรัสที่มีความเข้มข้นสูงจะกระจายไปที่ระดับความลึกตื้น (สูงถึง 1-1.5 ไมครอน) (รูปที่ 1.1, d)

    5. ติดต่อการทำให้เป็นโลหะ ในการเชื่อมต่อสายไฟฟ้าเข้ากับตัวส่งสัญญาณ ฐาน และพื้นที่ตัวรวบรวม จำเป็นต้องทำให้พื้นผิวสัมผัสเป็นโลหะ โครงสร้างดังกล่าวได้รับการประมวลผลเบื้องต้นด้วยการพิมพ์หินด้วยแสงเพื่อกำจัดฟิล์มไดออกไซด์ออกจากบริเวณที่ต้องการ จากนั้น เมื่อใช้การระเหยความร้อนในสุญญากาศ ชั้นของโลหะ (เช่น อลูมิเนียม) ที่มีความหนาประมาณ 1 ไมครอนจะถูกพ่นลงบนพื้นผิวทั้งหมดของแผ่นเวเฟอร์ ซึ่งจะมีการดำเนินการกระบวนการโฟโตลิโธกราฟีอีกครั้งเพื่อกำจัดโลหะส่วนเกินที่อยู่ระหว่าง พื้นที่ติดต่อ โครงสร้างที่มีการเคลือบผิวโลหะแบบสัมผัสจะแสดงในรูปที่ 1 1.1, e. เมื่อทำการผลิตไอซี องค์ประกอบแบบพาสซีฟแบบฟิล์มบางจะถูกสร้างขึ้นในลักษณะที่คล้ายกัน - ตัวต้านทาน ตัวเก็บประจุ และสวิตช์ทรานซิสเตอร์ด้วย

    6. การประกอบและการปิดผนึก เวเฟอร์ประกอบด้วยทรานซิสเตอร์แต่ละตัวตั้งแต่หลายร้อยถึงหมื่นตัว เธอถูกตัดเข้า โครงสร้างที่แยกจากกันเรียกว่า ณ จุดนี้คริสตัล ในรูป รูปที่ 1.1f แสดงโทโพโลยีของคริสตัลดังกล่าวที่มีการเคลือบผิวโลหะแบบสัมผัส คริสตัลถูกบัดกรีเข้ากับที่ใส่คริสตัล โดยเชื่อมต่อสายไฟฟ้าเข้ากับหน้าสัมผัสของฐาน ตัวปล่อย และตัวสะสม และปิดผนึกโดยใส่ไว้ในกล่องโลหะหรือเติมด้วยพลาสติก

    7. การทดสอบอุปกรณ์ เพื่อประเมินพารามิเตอร์และความน่าเชื่อถือของเครื่องมือก่อนมาถึงแผนก การควบคุมทางเทคนิคทำการทดสอบทางไฟฟ้า ภูมิอากาศ และทางกล มีความสำคัญต่อข้อมูลที่ถูกต้องเกี่ยวกับคุณภาพและความน่าเชื่อถือของอุปกรณ์ นอกจากนี้ การดำเนินการทางเทคโนโลยีแต่ละครั้งจะมาพร้อมกับการควบคุมคุณภาพของการประมวลผล เช่น การวัดความลึกของการแพร่ ความหนาของชั้นเอพิแทกเซียล ความต้านทานจำเพาะหรือพื้นผิว หลังจากสร้างการเปลี่ยนแปลง ?-?- ในโครงสร้างแล้ว พารามิเตอร์ทางไฟฟ้าจะถูกตรวจสอบ - แรงดันพังทลาย, กระแสรั่วไหล, ความจุ เส้นทางเทคโนโลยีประกอบด้วยแผนภูมิควบคุมพิเศษ

    ลำดับการดำเนินการที่พิจารณานั้นเป็นเรื่องปกติสำหรับการผลิตทรานซิสเตอร์แบบระนาบ - เอปิแอกเซียล การจำแนกประเภทของอุปกรณ์ขึ้นอยู่กับวิธีการทางเทคโนโลยีในการสร้างพื้นที่ใช้งานของโครงสร้าง จากคุณสมบัตินี้ PPs ที่ไม่ต่อเนื่องของอัลลอยด์, การแพร่กระจาย, เอพิเทเชียล และการฝัง เช่นเดียวกับการดัดแปลง เช่น การแพร่กระจายของโลหะผสม ฯลฯ อุปกรณ์ที่ทันสมัยส่วนใหญ่ผลิตขึ้นบนโครงสร้างเอพิแทกเซียล บริเวณที่ทำงานอยู่ถูกสร้างขึ้นโดยใช้การฝังและการแพร่กระจายของไอออน ทรานซิสเตอร์ MOS ผลิตขึ้นบนซับสเตรตโมโนคริสตัลไลน์ที่ไม่มีชั้นเอปิแอกเซียลโดยใช้วิธีระนาบ เทคโนโลยี การแพร่กระจายแบบไม่ระนาบและรอยต่อ epitaxis ใช้ในการผลิตไดโอดกำลังและทรานซิสเตอร์

    ระดับของการบูรณาการ

    มีการเสนอชื่อของไมโครวงจรต่อไปนี้ขึ้นอยู่กับระดับของการรวม (ระบุจำนวนองค์ประกอบสำหรับวงจรดิจิทัล):

    วงจรรวมขนาดเล็ก (MIC) - มากถึง 100 องค์ประกอบต่อชิป

    วงจรรวมขนาดกลาง (SIS) - มากถึง 1,000 องค์ประกอบต่อชิป

    วงจรรวมขนาดใหญ่ (LSI) - มากถึง 10,000 องค์ประกอบต่อชิป

    วงจรรวมขนาดใหญ่มาก (VLSI) - มากถึง 1 ล้านองค์ประกอบต่อชิป

    วงจรรวมขนาดใหญ่พิเศษ (ULIS) - มากถึง 1 พันล้านองค์ประกอบต่อชิป

    วงจรรวมขนาดใหญ่ขนาดยักษ์ (GBIC) - องค์ประกอบมากกว่า 1 พันล้านรายการบนชิป

    ปัจจุบันชื่อ GBIS ไม่ได้ใช้จริง (ตัวอย่างเช่นโปรเซสเซอร์ Pentium 4 รุ่นล่าสุดยังคงมีทรานซิสเตอร์หลายร้อยล้านตัว) และวงจรทั้งหมดที่มีจำนวนองค์ประกอบเกิน 10,000 จะถูกจัดประเภทเป็น VLSI โดยถือว่า UBIS เป็นคลาสย่อย

    เทคโนโลยีการผลิต

    ชิปเซมิคอนดักเตอร์ - องค์ประกอบทั้งหมดและการเชื่อมต่อระหว่างองค์ประกอบถูกสร้างขึ้นบนคริสตัลเซมิคอนดักเตอร์ตัวเดียว (เช่น ซิลิคอน เจอร์เมเนียม แกลเลียมอาร์เซไนด์)

    วงจรไมโครฟิล์ม - องค์ประกอบทั้งหมดและการเชื่อมต่อระหว่างองค์ประกอบทำในรูปแบบของภาพยนตร์:

    · วงจรรวมฟิล์มหนา

    · วงจรรวมแบบฟิล์มบาง

    วงจรไมโครไฮบริด - นอกเหนือจากคริสตัลเซมิคอนดักเตอร์แล้ว ยังมีไดโอด ทรานซิสเตอร์ และ (หรือ) ชิ้นส่วนอิเล็กทรอนิกส์อื่น ๆ ที่ไม่ได้บรรจุหีบห่อหลายตัวอยู่ในแพ็คเกจเดียว

    ประเภทของสัญญาณที่กำลังประมวลผล

    อนาล็อก

    ดิจิตอล

    อนาล็อกเป็นดิจิตอล

    วงจรไมโครอนาล็อก - สัญญาณอินพุตและเอาต์พุตจะแตกต่างกันไปตามกฎของฟังก์ชันต่อเนื่องในช่วงตั้งแต่แรงดันไฟฟ้าบวกถึงลบ

    ไมโครวงจรดิจิตอล - สัญญาณอินพุตและเอาต์พุตสามารถมีสองค่า: ศูนย์โลจิคัลหรือค่าโลจิคัลซึ่งแต่ละค่าสอดคล้องกับช่วงแรงดันไฟฟ้าที่แน่นอน ตัวอย่างเช่น สำหรับวงจรไมโคร TTL ที่มีแหล่งจ่ายไฟ +5 V ช่วงแรงดันไฟฟ้า 0...0.4 V จะตรงกับศูนย์โลจิคัล และช่วง 2.4...5 V จะสอดคล้องกับค่าโลจิคัล สำหรับชิปลอจิก ESL ที่มีแหล่งจ่ายไฟ 5.2 V: หน่วยลอจิคัลคือ 0.8...?1.03 V และศูนย์โลจิคัลคือ 1.6...?1.75 V ไมโครวงจรอนาล็อกเป็นดิจิทัลรวมรูปแบบของดิจิทัลเข้าด้วยกัน และการประมวลผลสัญญาณอนาล็อก เมื่อเทคโนโลยีพัฒนาไป มันก็แพร่หลายมากขึ้น


    ข้าว. 1 ข้อมูลและแบบจำลองเชิงตรรกะสำหรับการออกแบบอุปกรณ์วิทยุอิเล็กทรอนิกส์


    ข้าว. 2 รายละเอียดของบล็อก “การพัฒนาโครงสร้าง REU โดยใช้การสร้างแบบจำลองที่ซับซ้อน”


    ข้าว. 3. รายละเอียดของบล็อก "การสร้างแบบจำลองที่ซับซ้อน" กระบวนการทางกายภาพในสหภาพยุโรป"


    ข้าว. 4. รายละเอียดบล็อก “การศึกษาความน่าเชื่อถือของ REU”